Skip to main content
Log in

Leveraging Replacement Algorithm for Improved Cache Management System

  • Published:
Wireless Personal Communications Aims and scope Submit manuscript

Abstract

Cache memory is now a buzzword of technology arena. The main monology of the cache memory is to be representing the main re-security system of money for getting arrangement with the prototype-based currency. There is the secondary methodology of cache memory by which the visioning of the hardware area will remain same but processing will be continuing with the decor of software. In suppose, software is the forest and the hardware’s are the trees but to deploy the actual model of the security system of cache memory we need to determine the forest with due respect to trees. We need see the real vision of the tree’s for engaging the forest. Cache memory is being to represent the real time computing system of computer science. Cache memory is one of the fastest saving of computer science. It is the bridge between the central processing unit and the random-access memory. It collects the data in a short period and remove the obstacles of the information’s for restoring the data as far the information of the money is being to be collected. This one actually conflicts the frequency of the data and the interactions of information. This research actually based upon the cache management system of the cache memory system.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

Data Availability

This article has no asssociated data.

References

  1. Kim, Y.-K., Moon, B., Kim, D.-S., & Jang, Y.-J. (2019). Cache memory organization for processing in memory. IEICE Electronics Express, 16, 20190393.

    Article  Google Scholar 

  2. Mittal, S., & Vetter, J. S. (2015). A survey of architectural approaches for data compression in cache and main memory systems. IEEE Transactions on Parallel and Distributed Systems, 27(5), 1524–1536.

    Article  Google Scholar 

  3. Yamaki, H., & Nishi, H. (2013). An improved cache mechanism for a cache-based network processor. Journal of Communication and Computer, 100, 277–286.

    Google Scholar 

  4. Pu, S. M., & Li, X. (2013). Chip implementation on high-speed packet buffers in network system. In 2013 international SOC design conference (ISOCC) (pp. 294–297). IEEE.

  5. Nielsen, F. (2016). Introduction to HPC with MPI for data science. Berlin: Springer.

    Book  Google Scholar 

  6. Ramanan, B., Drabeck, L., Woo, T., Cauble, T., & Rana, A. (2020). \(^{\sim }\)PB &J\(^{\sim }\)-easy automation of data science/machine learning workflows. In 2020 IEEE international conference on big data (big data) (pp. 361–371). IEEE.

  7. Boroumand, A., Ghose, S., Kim, Y., Ausavarungnirun, R., Shiu, E., Thakur, R., Kim, D., Kuusela, A., Knies, A., Ranganathan, P., et al. (2018). Google workloads for consumer devices: Mitigating data movement bottlenecks. In Proceedings of the twenty-third international conference on architectural support for programming languages and operating systems (pp. 316–331).

  8. Ferdman, M., Adileh, A., Kocberber, O., Volos, S., Alisafaee, M., Jevdjic, D., Kaynak, C., Popescu, A. D., Ailamaki, A., & Falsafi, B. (2012). Quantifying the mismatch between emerging scale-out applications and modern processors. ACM Transactions on Computer Systems (TOCS), 30(4), 1–24.

    Article  Google Scholar 

  9. Khorasani, F., Esfeden, H. A., Farmahini-Farahani, A., Jayasena, N., & Sarkar, V. (2018). Regmutex: Inter-warp GPU register time-sharing. In 2018 ACM/IEEE 45th annual international symposium on computer architecture (ISCA) (pp. 816–828). IEEE.

  10. Bakhshalipour, M., Lotfi-Kamran, P., & Sarbazi-Azad, H. (2017). An efficient temporal data prefetcher for l1 caches. IEEE Computer Architecture Letters, 16(2), 99–102.

    Article  Google Scholar 

  11. Mattson, R. L., Gecsei, J., Slutz, D. R., & Traiger, I. L. (1970). Evaluation techniques for storage hierarchies. IBM Systems Journal, 9(2), 78–117.

    Article  Google Scholar 

  12. Eshtay, M., Faris, H., & Obeid, N. (2019). Metaheuristic-based extreme learning machines: A review of design formulations and applications. International Journal of Machine Learning and Cybernetics, 10(6), 1543–1561.

    Article  Google Scholar 

  13. Xie, Y., & Loh, G. H. (2009). PIPP: Promotion/insertion pseudo-partitioning of multi-core shared caches. ACM SIGARCH Computer Architecture News, 37(3), 174–183.

    Article  Google Scholar 

  14. Seshadri, V., Mutlu, O., Kozuch, M. A., & Mowry, T. C. (2012). The evicted-address filter: A unified mechanism to address both cache pollution and thrashing. In: 2012 21st international conference on parallel architectures and compilation techniques (PACT) (pp. 355–366). IEEE.

  15. Subramanian, R., Smaragdakis, Y., & Loh, G. H. (2006) Adaptive caches: Effective shaping of cache behavior to workloads. In 2006 39th annual IEEE/ACM international symposium on microarchitecture (MICRO’06) (pp. 385–396). IEEE.

  16. Qureshi, M. K., Lynch, D. N., Mutlu, O., & Patt, Y. N. (2006). A case for MLP-aware cache replacement. In 33rd international symposium on computer architecture (ISCA’06) (pp. 167–178). IEEE.

  17. Qureshi, M. K., Jaleel, A., Patt, Y. N., Steely, S. C., & Emer, J. (2007). Adaptive insertion policies for high performance caching. ACM SIGARCH Computer Architecture News, 35(2), 381–391.

    Article  Google Scholar 

  18. Wu, C.-J., Jaleel, A., Hasenplaugh, W., Martonosi, M., Steely, S. C. Jr, & Emer, J. (2011). Ship: Signature-based hit predictor for high performance caching. In Proceedings of the 44th Annual IEEE/ACM international symposium on microarchitecture (pp. 430–441).

  19. Duong, N., Zhao, D., Kim, T., Cammarota, R., Valero, M., & Veidenbaum, A. V. (2012). Improving cache management policies using dynamic reuse distances. In 2012 45Th annual IEEE/ACM international symposium on microarchitecture (pp. 389–400). IEEE.

Download references

Acknowledgements

The first author would like to express her sincere gratitude to Dr. Vipul Narayan, Assistant Professor at the School of Computing Science and Engineering, Galgotias University, for his invaluable guidance, support, and expertise throughout this project. Dr. Narayan's insightful feedback, encouragement, and dedication significantly contributed to the success of this endeavour.

Funding

The authors declare that no funds, grants, or other support were received during the preparation of this manuscript.

Author information

Authors and Affiliations

Authors

Contributions

All authors contributed to the study conception and design. All authors read and approved the final manuscript.

Corresponding author

Correspondence to Swapnita Srivastava.

Ethics declarations

Conflict of interest

The authors have no relevant financial or non-financial interests to disclose.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Srivastava, S., Singh, P.K. Leveraging Replacement Algorithm for Improved Cache Management System. Wireless Pers Commun 135, 389–401 (2024). https://doi.org/10.1007/s11277-024-11022-5

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11277-024-11022-5

Keywords

Navigation