Skip to main content
Log in

Run-Time Reconfigurable Systems for Digital Signal Processing Applications: A Survey

  • Published:
Journal of VLSI signal processing systems for signal, image and video technology Aims and scope Submit manuscript

Abstract

Today’s digital signal processing (DSP) applications use computationally complex and/or adaptive algorithms and have stringent requirements in terms of speed, size, cost, power consumption, and throughput. Efficient hardware implementation techniques should be employed to meet the requirements of these applications. Run-Time Reconfiguration (RTR) is a promising technique for reducing the hardware required for implementing DSP systems as well as improving the performance, speed and power consumption of these systems. In this survey, we explain different issues in run-time reconfigurable systems and list the implemented systems which support run-time reconfiguration. We also describe different applications of run-time reconfiguration and discuss the improvements achieved by applying run-time reconfiguration.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. L. Gwennap, “Intel’s MMX Speeds Multimedia,” Microprocessor Report, vol. 10, no. 3, 1996, pp. 1–6.

    Google Scholar 

  2. L. Gwennap, “UltraSparc Adds Multimedia Instructions,” Microprocessor Report, vol. 8 no. 16, 1994, pp. 16–18.

    Google Scholar 

  3. Y.H. Hu, Programmable Digital Signal Processors, New York, Marcel Dekker Inc. 2002, chapter 5.

    Google Scholar 

  4. ESS Technology, Inc., “ES4408 DVD Processor Product Brief,” http://www.esstech.com/products/consumer/Prod_Briefs/pb4408F_052101.pdf

  5. ESS Technology, Inc., “ES4318 DVD Processor Product Brief,” http://www.esstech.com/products/consumer/Prod_Briefs/pb4318_052101.pdf

  6. Sony Corporation, “CXD1930Q MPEG Video Decoder Advance Information,” http://www.sel.sony.com/semi/PDF/CXD1930Q.pdf

  7. S. Purcell, “Mpact2 media processor, balanced 2X performance,” in Proceeding, SPIE Multimedia Hardware Architectures, 1997, pp. 102–108.

  8. T. Yoshida, Y. Shimazu, A. Yamada, E. Holmann, K. Nakakimura, H. Takata, M. Kitao, T. Kishi, H. Kobayashi, M. Sato, A. Mohri, K. Suzuki, Y. Ajioka, and K. Higashitani, “A 2 V 250 MHz Multimedia Processor,” in Proc. IEEE ISSCC, 1997, pp. 266–267.

  9. http://www.semiconductors.philips.com/platforms/nexperia/media_processing/products/media_proc_ic/index.html

  10. R. Tessier and W. Burleson, “Reconfigurable Computing and Digital Signal Processing: A Survey,” Journal of VLSI Signal Processing, 2001.

  11. K. Compton and S. Hauck, “Reconfigurable Computing: A Survey of Systems and Software,” ACM Press, 2002, pp. 171–210.

  12. A. DeHon and J. Wawrzynek, “Reconfigurable Computing: What, Why, and Implications for Design Automation,” in Proceedings, 36th Design Automation Conference, 1999, pp. 610–615.

  13. J. Villasenor and B. Hutchings, “The Flexibility of Configurable Computing,” IEEE Signal Processing Magazine, vol. 15, no. 5, 1998, pp. 67–84.

    Article  Google Scholar 

  14. J.M. Arnold, D.A. Buell, and E.G. Davis, “Splash 2,” in Proceedings of the Fourth Annual ACM Symposium on Parallel Algorithms and Architectures, 1992, pp. 316–322.

  15. J.E. Vuillemin, P. Bertin, D. Roncin, M. Shand, H.H. Touati, and P. Boucard, “Programmable Active Memories: Reconfigurable Systems Come of Age,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 4, no. 1, 1996, pp. 56–69.

    Article  Google Scholar 

  16. A.L. Abbott, P.M. Athanas, L. Chen, and R.L. Elliott, “Finding Lines and Building Pyramids with SPLASH 2,” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, 1994, pp. 155–163.

  17. J. Woodfill and B. Von Herzen, “Real-Time Stereo Vision on the PARTS Reconfigurable Computer,” in Proceedings, The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1997, pp. 201–210.

  18. B. Von Herzen, “Signal Processing at 250 MHz Using High-Performance FPGA’s,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 6, no. 2, 1998, pp. 238–246.

    Article  Google Scholar 

  19. J. Villasenor, B. Schoner, K. Chia, C. Zapata, H.J. Kim, C. Jones, S. Lansing, and B. Mangione-Smith, “Configurable Computing Solutions for Automatic Target Recognition,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1996, pp. 70–79.

  20. D. Yeh, G. Feygin, and P. Chow, “RACER: A Reconfigurable Constraint-Length 14 Viterbi Decoder,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1996, pp. 60–69.

  21. A. DeHon, “The Density Advantage of Configurable Computing,” IEEE Computer, vol. 33, no. 4, 2000, pp. 41–49.

    Article  Google Scholar 

  22. Xilinx, Inc. “Using Programmable Logic to Accelerate DSP Functions,” http://www.xilinx.com/appnotes/dspintro.pdf

  23. M. Wazlowski, L. Agarwal, T. Lee, A. Smith, E. Lam, P. Athanas, H. Silverman, and S. Ghosh, “PRISM-II Compiler and Architecture,” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, 1993, pp. 9–16.

  24. J.R. Hauser and J. Wawrzynek, “Garp: A MIPS Processor with a Reconfigurable Coprocessor,” in Proceedings, The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1997, pp. 12–21.

  25. C.R. Rupp, M. Landguth, T. Garverick, E. Gomersall, H. Holt, J.M. Arnold, and M. Gokhale, “The NAPA Adaptive Processing Architecture,” in IEEE Symposium on FPGAs for Custom Computing Machines, Proceedings, 1998, pp. 28–37.

  26. S. Hauck, T.W. Fry, M.M. Hosler, and J.P. Kao, “The Chimaera Reconfigurable Functional Unit,” in The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1997, pp. 87–96.

  27. R.D. Wittig and P. Chow, “OneChip: an FPGA Processor with Reconfigurable Logic,” in IEEE Symposium on FPGAs for Custom Computing Machines, Proceedings, 1996, pp. 126–135.

  28. J.G. Eldredge and B.L. Hutchings, “Density Enhancement of a Neural Network using FPGAs and Run-Time Reconfiguration,” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, 1994, pp. 180–188.

  29. B. Salefski and L. Caglar, “Re-Configurable Computing in Wireless,” in Proceedings, Design Automation Conference, 2001, pp. 178–183.

  30. B. Schoner, C. Jones, and J. Villasenor, “Issues in Wireless Video Coding using Run-Time-Reconfigurable FPGAs,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1995, pp. 85–89.

  31. R.D. Hudson, D.I. Lehn, and P.M. Athanas, “A Run-Time Reconfigurable Engine for Image Interpolation,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 88–95.

  32. K. Brunham and W. Kinsner, “Run-Time Reconfiguration: Towards Reducing the Density Requirements of FPGAs,” in Canadian Conference on Electrical and Computer Engineering, 2001, vol. 2, pp. 1259–1264.

    Google Scholar 

  33. S. Srikanteswara, M. Hosemann, J.H. Reed, and P.M. Athanas, “Design and Implementation of a Completely Reconfigurable Soft Radio,” in IEEE Radio and Wireless Conference, RAWCON, 2000, pp. 7–11.

  34. S. Govindarajan, I. Ouaiss, M. Kaul, V. Srinivasan, and R. Vemuri, “An Effective Design System for Dynamically Reconfigurable Architectures,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 312–313.

  35. S. Neema, J. Scott, and T. Bapty, “Real Time Reconfigurable Image Recognition System,” in Proceedings of the 18th IEEE Instrumentation and Measurement Technology Conference, IMTC, vol. 1, 2001, pp. 350–355.

  36. N. McKay, T. Melham, and Kong Woei Susanto, “Dynamic Specialisation of XC6200 FPGAs by Partial Evaluation,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 308–309.

  37. M.J. Wirthlin and B.L. Hutchings “Improving Functional Density Through Run-Time Constant Propagation,” in Proceedings of the 1997 ACM Fifth International Symposium on Field-Programmable Gate Arrays, 1997, pp. 86–92.

  38. S. Swaminathan, R. Tessier, D. Goeckel, and W. Burleson, “A Dynamically Reconfigurable Adaptive Viterbi Decoder,” in Proceedings of the 10th International ACM/SIGDA Symposium on Field Programmable Gate Arrays, Monterey, California, 2002.

  39. A. Laffely, Jian Liang, P. Jain, W. Burleson, and R. Tessier, “Adaptive Systems on a Chip (aSoC) for Low-Power Signal Processing,” in Conference Record of the Thirty-Fifth Asilomar Conference on Signals, Systems and Computers, vol. 2, 2001, pp. 1217–1221.

    Google Scholar 

  40. XILINX, Inc., The Programmable Logic Data Book, Xilinx, Inc., San Jose, CA, 1994.

    Google Scholar 

  41. Altera Corporation, Data Book, Altera Corporation, San Jose, CA, 1998.

    Google Scholar 

  42. D.C. Cronquist, C. Fisher, M. Figueroa, P. Franklin, and C. Ebeling, “Architecture Design of Reconfigurable Pipelined Datapaths,” in Proceedings, 20th Anniversary Conference on Advanced Research in VLSI, 1999, pp. 23–40.

  43. Lu Guangming, H. Singh, Lee Ming-Hau, N. Bagherzadeh, F.J. Kurdahi, E.M.C. Filho, and V. Castro-Alves, “The MorphoSys Dynamically Reconfigurable System-on-Chip,” in Proceedings of the First NASA/DoD Workshop on Evolvable Hardware, 1999, pp. 152–160.

  44. D.C. Chen and J.M. Rabaey, “A Reconfigurable Multiprocessor IC for Rapid Prototyping of Algorithmic-Specific High-Speed DSP Data Paths,” IEEE Journal of Solid-State Circuits, vol. 27, no. 12, 1992, pp. 1895–1904.

    Article  Google Scholar 

  45. LUCENT TECHNOLOGIES, Inc., FPGA Data Book, Lucent Technologies, Inc., Allentown, PA, 1998.

    Google Scholar 

  46. Xilinx Inc., Virtex 2.5 V Field Programmable Gate Arrays, Advance Product Data Sheet, 1998.

  47. S.C. Goldstein, H. Schmit, M. Moe, M. Budiu, S. Cadambi, R.R. Taylor, and R. Laufer, “PipeRench: A Coprocessor for Streaming Multimedia Acceleration,” in Proceedings of the 26th International Symposium on Computer Architecture, 1999, pp. 28–39.

  48. B. Salefski and L. Caglar, “Re-Configurable Computing in Wireless,” in Proceedings, Design Automation Conference, 2001, pp. 178–183

  49. H. Singh, Ming-Hau Lee, Guangming Lu, F.J. Kurdahi, N. Bagherzadeh, and E.M.C. Filho, “MorphoSys: A Reconfigurable Architecture for Multimedia Applications,” in XI Brazilian Symposium on Integrated Circuit Design, Proceedings, 1998, pp. 134–139.

  50. J.Y. Kwak, S.S. Yoon, H.J. Kwon, and K. Kee, “A Design of the New FPGA with Data Path Logic and Run Time Block Reconfiguration Method,” in Proceedings of the 1999 IEEE International Symposium on Circuits and Systems, ISCAS, vol. 1, 1999, pp. 467–469.

  51. D.E. Van den Bout, J.N. Morris, D. Thomae, S. Labrozzi, S. Wingo, and D. Hallman, “AnyBoard: An FPGA-Based, Reconfigurable System,” IEEE Design & Test of Computers, vol. 9, no. 3, 1992, pp. 21–30.

    Article  Google Scholar 

  52. P.M. Athanas, “A Functional Reconfigurable Architecture and Compiler for Adaptive Computing,” in Twelfth Annual International Phoenix Conference on Computers and Communications, 1993, pp. 49–55.

  53. R. Razdan and M.D. Smith, “A High-Performance Microarchitecture with Hardware-Programmable Functional Units,” in Proceedings of the 27th Annual International Symposium on Microarchitecture, MICRO-27, 1994, pp. 172–180.

  54. M.J. Wirthlin, B.L. Hutchings, and K.L. Gilson, “The Nano Processor: A Low Resource Reconfigurable Processor,” in Proceedings, IEEE Workshop on FPGAs for Custom Computing Machines, 1994, pp. 23–30.

  55. J. Harkin, T.M. McGinnity, and L.P. Maguire, “Accelerating Embedded Applications using Dynamically Reconfigurable Hardware and Evolutionary Algorithms,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 321–322.

  56. D.N. Rakhmatov and S.B.K. Vrudhula, “Hardware-Software Bipartitioning for Dynamically Reconfigurable Systems,” in Proceedings of the Tenth International Symposium on Hardware/Softwarw Codesign, 2002, pp. 145–150.

  57. J. Noguera and R.M. Badia, “A HW/SW Partitioning Algorithm for Dynamically Reconfigurable Architectures,” in Proceedings, Conference and Exhibition Design, Automation and Test in Europe, 2001, pp. 729–734.

  58. S. Jain, M. Balakrishnan, A. Kumar, and S. Kumar, “Speeding up Program Execution using Reconfigurable Hardware and a Hardware Function Library,” in Proceedings, Eleventh International Conference on VLSI Design, 1998, pp. 400–405.

  59. P. Bellows and B. Hutchings, “JHDL-an HDL for Reconfigurable Systems,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 175–184.

  60. B. Hutchings, P. Bellows, J. Hawkins, S. Hemmert, B. Nelson, and M. Rytting, “A CAD Suite for High-Performance FPGA Design,” in Proceedings, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1999, pp. 12–24.

  61. L.A. Smith King, H. Quinn, M. Leeser, D. Galatopoullos, and E. Manolakos, “Run-Time Execution of Reconfigurable Hardware in a Java Environment,” in Proceedings, 2001 International Conference on Computer Design, 2001, pp. 380–385.

  62. H. Schmit, “Incremental Reconfiguration for Pipelined Applications,” in Proceedings, The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1997, pp. 47–55.

  63. S. Hauck, “Configuration Prefetch for Single Context Reconfigurable Coprocessors,” in ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, 1998, pp. 65–74.

  64. A. Marshall, T. Stansfield, I. Kostarniv, J. Vuillemin, and B. Hutchings, “A Reconfigurable Arithmetic Array for Multimedia Applications,” in International Symposium on Field Programmable Gate Arrays, Proceedings, 1999, pp. 135–143.

  65. S. Hauck, Li Zhiyuan, and E. Schwabe, “Configuration Compression for the Xilinx XC6200 FPGA,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 18, no. 8, 1999, pp. 1107–1113.

    Article  Google Scholar 

  66. R. Turner, R. Woods, S. Sezer, and J. Heron, “A Virtual Hardware Handler for RTR Systems,” in Proceedings, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1999, pp. 262–263.

  67. S. Hauck and W.D. Wilson, “Runlength Compression Techniques for FPGA Configurations,” in Proceedings, Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM, 1999, pp. 286–287.

  68. J.D. Hadley and B.L. Hutchings, “Design Methodologies for Partially Reconfigured Systems,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1995, pp. 78–84.

  69. W. Luk, N. Shirazi, and P.Y.K. Cheung, “Modelling and Optimising Run-Time Reconfigurable Systems,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1996, pp. 167–176.

  70. W. Luk, N. Shirazi, and P.Y.K. Cheung, “Compilation Tools for Run-Time Reconfigurable Designs,” in Proceedings, The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1997, pp. 56–65.

  71. N. Shirazi, W. Luk, and P.Y.K. Cheung, “Automating Production of Run-Time Reconfigurable Designs,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 147–156.

  72. K. Compton, J. Cooley, S. Knol, and S. Hauck, “Configuration Relocation and Defragmentation for Reconfigurable Computing,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 279–280.

  73. O. Diessel, H. ElGindy, M. Middendorf, H. Schmeck, and B. Schmidt, “Dynamic Scheduling of Tasks on Partially Reconfigurable FPGAs,” IEE Proceedings-Computers and Digital Techniques, vol. 147, no. 3, 2000, pp. 181–188.

  74. Z. Li, K. Compton, and S. Hauck, “Configuration Caching Management Techniques for Reconfigurable Computing,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 22–36.

  75. X.-P. Ling and H. Amano, “WASMII: A Data Driven Computer on a Virtual Hardware,” IEEE Workshop on FPGAs for Custom Computing Machines, Proceedings, 1993, pp. 33–42.

  76. Y. Shibata, M. Uno, H. Amano, K. Furuta, T. Fujii, and M. Motomura, “A Virtual Hardware System on a Dynamically Reconfigurable Logic Device,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 295–296.

  77. A. DeHon, “DPGA-Coupled Microprocessors: Commodity ICs for the Early 21st Century,” IEEE Workshop on FPGAs for Custom Computing Machines, Proceedings, 1994, pp. 31–39.

  78. E. Tau, I. Eslick, D. Chen, J. Brown, and A. DeHon, “A First Generation DPGA Implementation,” in Proceedings of the Third Canadian Workshop on Field-Programmable Devices, 1995, pp. 138–143.

  79. R.A. Bittner, Jr. and P.M. Athanas, “Computing Kernels Implemented with a Wormhole RTR CCM,” in The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, Proceedings, 1997, pp. 98–105.

  80. R.A. Bittner Jr. and Peter M. Athanas, “Wormhole Run-time Reconfiguration,” Presented at the ACM/FPGA conference, Monterey, CA, 1997.

  81. A. Alsolaim, J. Becker, M. Glesner, and J. Starzyk, “Architecture and Application of a Dynamically Reconfigurable Hardware Array for Future Mobile Communication Systems,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 205–214.

  82. J. Becker, T. Pionteck, C. Habermann, and M. Glesner, “Design and Implementation of a Coarse-Grained Dynamically Reconfigurable Hardware Architecture,” in Proceedings, IEEE Computer Society Workshop on VLSI, 2001, pp. 41–46.

  83. K. Rath, S. Tangirala, P. Friel, P. Balsara, J. Flores, and J. Wadley, “Reconfigurable Array Media Processor (RAMP),” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 287–288.

  84. M.J. Wirthlin and B.L. Hutchings, “A Dynamic Instruction Set Computer,” in IEEE Symposium on FPGAs for Custom Computing Machines, Proceedings, 1995, pp. 99–107.

  85. J. Burns, A. Donlin, J. Hogg, S. Singh, and M. De Wit, “A Dynamic Reconfiguration Run-Time System,” in The 5th Annual IEEE Symposium on Field-Programmable Custom Computing Machines, 1997, pp. 66–75.

  86. D. Davis and J. Harris, “ACEcard/sup TM/: A High-Performance Architecture for Run-Time Reconfiguration,” in Proceedings of the First Merged International.. and Symposium on Parallel and Distributed Processing, 1998, pp. 616–619.

  87. M. Baxter, “ICARUS: A Dynamically Reconfigurable Computer Architecture,” in Seventh Annual IEEE Symposium on Field-Programmable Custom Computing Machines, FCCM ’99, Proceedings, 1999, pp. 278–279.

  88. L. Kessal, D. Demigny, N. Boudouani, and R. Bourguiba, “Reconfigurable Hardware for Real Time Image Processing,” in Proceedings, International Conference on Image Processing, vol. 3, 2000, pp. 110–113.

  89. T.J. Callahan, J.R. Hauser, and J. Wawrzynek, “The Garp Architecture and C Compiler,” IEEE Computer, vol. 33, no. 4, 2000, pp. 62–69.

    Article  Google Scholar 

  90. C.E. Rabel and M. Sawan, “New Custom Computing Machine Dedicated to Fast Dynamic Configuration Applications,” in The 6th IEEE International Conference on Electronics, Circuits and Systems, Proceedings of ICECS ’99, vol. 2, 1999, pp. 957–960.

    Google Scholar 

  91. C.E. Rabel, M. Sawan, and J. Davidson, “PARC: A New Pyramidal Arcitechture FPGA,” ICM, 1997.

  92. C.E. Rabel and M. Sawan, “PARC: New Pyramidal FPGA Architecure Based on a RISC Processor,” IEEE-ISCAS, 1999.

  93. E. Mirsky and A. DeHon, “MATRIX: A Reconfigurable Computing Architecture with Configurable Instruction Distribution and Deployable Resources,” in IEEE Symposium on FPGAs for Custom Computing Machines, Proceedings, pp. 157–166.

  94. T. Miyamori and U. Olukotun, “A Quantitative Analysis of Reconfigurable Coprocessors for Multimedia Applications,” in IEEE Symposium on FPGAs for Custom Computing Machines, Proceedings, 1998, pp. 2–11

  95. D. Jones and D.M. Lewis, “A Time-Multiplexed FPGA Architecture for Logic Emulation,” in Proceedings of the IEEE Custom Integrated Circuits Conference, 1995, pp. 495–498.

  96. http://support.xilinx.com/xlnx/xil_prodcat_landingpage.jsp?title=Platform+FPGAs

  97. http://www.xilinx.com/products/jbits/

  98. http://support.xilinx.com/xlnx/xil_prodcat_product.jsp?title=chipscope_ila

  99. http://www.atmel.com/atmel/products/prod99.htm

  100. Xilinx Inc. XC6200 FPGA family, 1995.

  101. T. Fujii, K.-i. Furuta, M. Motomura, M. Nomura, M. Mizuno, K.-i. Anjo, K. Wakabayashi, Y. Hirota, Y.-e. Nakazawa, H. Ito, and M. Yamashina, “A Dynamically Reconfigurable Logic Engine with a Multi-Context/Multi-Mode Unified-Cell Architecture,” in IEEE International Solid-State Circuits Conference, Digest of Technical Papers. ISSCC. 1999, pp. 364–365.

  102. S.M. Scalera and J.R. Vazquez, “The Design and Implementation of a Context Switching FPGA,” in IEEE Symposium on FPGAs for Custom Computing Machines, Proceedings, 1998, pp. 78–85.

  103. J. Villasenor, C. Jones, and B. Schoner, “Video Communications using Rapidly Reconfigurable Hardware,” IEEE Transactions on Circuits and Systems for Video Technology, vol. 5, no. 6, 1995, pp. 565–567.

    Article  Google Scholar 

  104. A. Derbyshire and W. Luk, “Combining Serialisation and Reconfiguration for Convolver Designs,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 344–346.

  105. J.G. Eldredge and B.L. Hutchings, “RRANN: The Run-Time Reconfiguration Artificial Neural Network,” in Proceedings of the IEEE Custom Integrated Circuits Conference, 1994, pp. 77–80.

  106. J.G. Eldredge and B.L. Hutchings, “RRANN: A Hardware Implementation of the Backpropagation Algorithm using Reconfigurable FPGAs,” in IEEE International Conference on Neural Networks, IEEE World Congress on Computational Intelligence, vol. 4, 1994, pp. 2097–2102.

    Google Scholar 

  107. Kim Suhwan, C.H. Ziesler, and M.C. Papaefthymiou, “A Reconfigurable Pipelined IDCT for Low-Energy Video Processing,” in Proceedings, 13th Annual IEEE International ASIC/SOC Conference, 2000, pp. 13–17.

  108. S.R. Park and W. Burleson, “Reconfiguration for Power Aaving in Real-Time Motion Estimation,” in Proceedings of the 1998 IEEE International Conference on Acoustics, Speech and Signal Processing, vol. 5, 1998, pp. 3037–3040.

  109. J.F. Arrigo, K.J. Page, Wang Yuhe, and P.M. Chau, “Adaptive FEC on a Reconfigurable Processor for Wireless Multimedia Communications,” in Proceedings of the 1998 IEEE International Symposium on Circuits and Systems, ISCAS, vol. 4, 1998, pp. 417–420.

  110. P. James-Roxby and B.A. Blodget, “Adapting Constant Multipliers in a Neural Network Implementation,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 335–336.

  111. K. Weiss, R. Kistner, A. Kunzmann, and W. Rosenstiel, “Analysis of the XC6000 Architecture for Embedded System Design,” in Proceedings, IEEE Symposium on FPGAs for Custom Computing Machines, 1998, pp. 245–252.

  112. G.S. Hollingworth, S.L. Smith, and A.M. Tyrrell, “Design of Highly Parallel Edge Detection Nodes using Evolutionary Techniques,” in Proceedings of the Seventh Euromicro Workshop on Parallel and Distributed Processing, 1999, pp. 35–42.

  113. J. Miller, “Evolution of Digital Filters using a Gate Array Model,” in Proceedings of the First EvolASP’99 Workshop on Image Analysis and Signal Processing, 1999, pp. 17–30.

  114. J. Miller, “On the Filtering Properties of Evolved Gate Arrays,” in The first NASA/DoD Workshop on Evolvable Hardware, 1999, pp. 2–11.

  115. A. Thompson and C. Wasshuber, “Evolutionary Design of Single Electron Systems,” in Proceedings, The Second NASA/DoD Workshop on Evolvable Hardware, 2000, pp. 109–116.

  116. M. Sipper, M. Goeke, D. Mange, A. Stauffer, E. Sanchez, and M. Tomassini, “The Firefly Machine: Online Evolware,” in IEEE International Conference on Evolutionary Computation, 1997, pp. 181–186.

  117. G. Tufte and P.C. Haddow, “Prototyping a GA Pipeline for Complete Hardware Evolution,” in Proceedings of the First NASA/DoD Workshop on Evolvable Hardware, 1999, pp. 18–25.

  118. G. Tufte and P.C. Haddow, “Evolving an Adaptive Digital Filter,” in Proceedings, The Second NASA/DoD Workshop on Evolvable Hardware, 2000, pp. 143–150.

  119. A. Thompson, P. Layzell, and R.S. Zebulum, “Explorations in Design Space: Unconventional Electronics Design Through Artificial Evolution,” IEEE Transactions on Evolutionary Computation, vol. 3 no. 3, 1999, pp. 167–196.

    Article  Google Scholar 

  120. T.C. Fogarty, J.F. Miller, and P. Thomson, “Evolving Digital Logic Circuits in Xilinx 6000 Family FPGAs,” in Soft Computing in Engineering Design and Manufacturing, Springer, 1998, ppl 299–305.

  121. R.S. Zebulum, M.A. Pacheco, and M. Vellasco, “Artificial Evolution of Active Filters: A Case Study,” in Proceedings of the First NASA/DoD Workshop on Evolvable Hardware, 1999, pp. 66–75.

  122. S. J. Flockton and K. Sheehan, “Intrinsic Circuit Evolution using Programmable Analogue Arrays,” in 2nd International Congerecnce on Evolvable Systems (ICES98), Lecture Notes in Computer Science, vol. 1478, Springer, 1998, pp. 144–153.

  123. M. Murakawa, S. Yoshizawa, I. Kajitani, X. Yao, N. Kajihara, M. Iwata, and T. Higuchi, “The GRD Chip: Genetic Reconfiguration of DSPs for Neural Network Processing,” IEEE Transactions on Computers, vol. 48, no. 6, 1999, pp. 628–639.

    Article  Google Scholar 

  124. N.J. Macias, “Ring Around the PIG: A Parallel GA with Only Local Interactions Coupled with a Self-Reconfigurable Hardware Platform to Implement an O(1) Evolutionary Cycle for Evolvable Hardware,” in Proceedings of the 1999 Congress on Evolutionary Computation, vol. 2, 1999, pp. 1067–1075.

  125. P.C. Haddow and G. Tufte, “An Evolvable Hardware FPGA for Adaptive Hardware,” in Proceedings of the 2000 Congress on Evolutionary Computation, vol. 1, 2000, pp. 553–560.

  126. P.C. Haddow and G. Tufte, “Bridging the Genotype-Phenotype Mapping for Digital FPGAs,” in Proceedings, The Third NASA/DoD Workshop on Evolvable Hardware, 2001, pp. 109–115.

  127. C.C. Santini, R. Zebulum, M.A.C. Pacheco, M.M.R. Vellasco, and M.H. Szwarcman, “PAMA-Programmable Analog Multiplexer Array,” in Proceedings, The Third NASA/DoD Workshop on Evolvable Hardware, 2001, pp. 36–43.

  128. P. Layzell, “A New Research Tool for Intrinsic Hardware Evolution,” in Proceedings of Second International Conference on Evolvable Systems: From Biology to Hardware (ICES98), Lacture notes in Computer Science, Springer, 1998, pp. 47–56.

  129. A. Stoica, R. Zebulum, D. Keymeulen, R. Tawel, T. Daud, and A. Thakoor, “Reconfigurable VLSI Architectures for Evolvable Hardware: From Experimental Field Programmable Transistor Arrays to Evolution-Oriented Chips,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9 no. 1, 2001, pp. 227–232.

    Article  Google Scholar 

  130. A. Stoica, R. Zebulum, and D. Keymeulen, “Progress and Challenges in Building Evolvable Devices,” in Proceedings, The Third NASA/DoD Workshop on Evolvable Hardware, 2001, pp. 33–35.

  131. L. Antoni, R. Leveugle, and B. Feher, “Using Run-Time Reconfiguration for Fault Injection Applications,” in Proceedings of the 18th IEEE Instrumentation and Measurement Technology Conference, IMTC 2001, vol. 3, 2001, pp. 1773–1777.

  132. P.H.W. Leong, C.W. Sham, W.C. Wong, H.Y. Wong, W.S. Yuen, and M.P. Leong, “A Bitstream Reconfigurable FPGA Implementation of the WSAT Algorithm,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 9, no. 1, 2001, pp. 197–201.

    Article  Google Scholar 

  133. J. Emmert, C. Stroud, B. Skaggs, and M. Abramovici, “Dynamic Fault Tolerance in FPGAs Via Partial Reconfiguration,” in IEEE Symposium on Field-Programmable Custom Computing Machines, 2000, pp. 165–174.

  134. S.C. Goldstein, H. Schmit, M. Budiu, S. Cadambi, M. Moe, and R.R. Taylor, “PipeRench: A Reconfigurable Architecture and Compiler,” Computer, vol. 33, no. 4, 2000, pp. 70–77.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Alireza Shoa.

Additional information

Alireza Shoa received his B.Sc degree in Electrical Engineering from Sharif University of Technology, Tehran, Iran in 2001 and M.A.Sc degree in Electrical Engineering from McMaster University, Hamilton, Canada in 2003. Currently, he is a PhD candidate in Electrical Engineering at McMaster University. His research interests include VLSI circuits for signal processing and communication applications and image and video processing.

Shahram Shirani received his B.S. in Electrical Engineering from Isfahan University of Technology, Isfahan, Iran, and M.Sc. in Biomedical Engineering from Amirkabir University of Technology, Tehran, Iran, and Ph.D. in Electrical Engineering from University of British Columbia, Vancouver, Canada, in 1989, 1994 and 2000 respectively. Since 2000 he has been with the department of Electrical and Computer Engineering, McMaster University, where he is an assistant professor. His research interests include image and video compression, multimedia communications, and ultrasonic imaging. He is a member of technical committee of IEEE International Conference on Image Processing (ICIP). He is a licensed professional engineer and a member of Institute of Electrical and Electronics Engineers (IEEE).

Rights and permissions

Reprints and permissions

About this article

Cite this article

Shoa, A., Shirani, S. Run-Time Reconfigurable Systems for Digital Signal Processing Applications: A Survey. J VLSI Sign Process Syst Sign Image Video Technol 39, 213–235 (2005). https://doi.org/10.1007/s11265-005-4841-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-005-4841-x

Keywords

Navigation