Skip to main content
Log in

Real-time worst-case temperature analysis with temperature-dependent parameters

  • Published:
Real-Time Systems Aims and scope Submit manuscript

Abstract

With the evolution of today’s semiconductor technology, chip temperature increases rapidly mainly due to the growth in power density. Therefore, for modern embedded real-time systems it is crucial to estimate maximal temperatures early in the design in order to avoid burnout and to guarantee that the system can meet its real-time constraints. This paper provides answers to a fundamental question: What is the worst-case peak temperature of a real-time embedded system under all feasible scenarios of task arrivals? A novel thermal-aware analytic framework is proposed that combines a general event/resource model based on network and real-time calculus with system thermal equations. This analysis framework has the capability to handle a broad range of uncertainties in terms of task execution times, task invocation periods, jitter in task arrivals, and resource availability. The considered model takes both dynamic and leakage power as well as thermal dependent conductivity into consideration. Thorough simulation experiments validate the theoretical results.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

Notes

  1. This is exactly where the work-conserving assumption is effective. That is, without this work-conserving assumption, arbitrarily many workload can be delayed and accumulated regardless of resource availability making γ unbounded.

  2. As S(t) implies an operating mode at moment t, it is not a continuous function.

References

  • Bansal N, Pruhs K (2005) Speed scaling to manage temperature. In: STACS

    Google Scholar 

  • Bansal N, Kimbrel T, Pruhs K (2004) Dynamic speed scaling to manage energy and temperature. In: FOCS

    Google Scholar 

  • Brooks D, Martonosi M (2001) Dynamic thermal management for high-performance microprocessors. In: HPCA ’01: proceedings of the 7th international symposium on high-performance computer architecture. IEEE Computer Society, Washington, p 171

    Chapter  Google Scholar 

  • Brooks D, Tiwari V, Martonosi M (2000) Wattch: a framework for architectural-level power analysis and optimizations. In: ISCA ’00: proceedings of the 27th annual international symposium on computer architecture. ACM, New York, pp 83–94

    Chapter  Google Scholar 

  • Chantem T, Dick RP, Hu XS (2008) Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs. In: Design, automation and test in Europe

    Google Scholar 

  • Chantem T, Hu XS, Dick RP (2009) Online work maximization under a peak temperature constraint. In: Henkel J, Keshavarzi A, Chang N, Ghani T (eds) ISLPED. ACM, New York, pp 105–110

    Chapter  Google Scholar 

  • Chen J-J, Hung C-M, Kuo T-W (2007) On the minimization of the instantaneous temperature for periodic real-time tasks. In: IEEE real-time and embedded technology and applications symposium

    Google Scholar 

  • Chen J-J, Wang S, Thiele L (2009) Proactive speed scheduling for real-time tasks under thermal constraints. In: RTAS. IEEE Computer Society, Los Alamitos, pp 141–150

    Google Scholar 

  • Fisher N, Chen J-J, Wang S, Thiele L (2009) Thermal-aware global real-time scheduling on multicore systems. In: RTAS

    Google Scholar 

  • Fu X, Wang X, Puster E (2009) Dynamic thermal and timeliness guarantees for distributed real-time embedded systems. In: RTCSA. IEEE Computer Society, Los Alamitos, pp 403–412

    Google Scholar 

  • Fu Y, Kottenstette N, Chen Y, Lu C, Koutsoukos X, Wang H (2010) Feedback thermal control for real-time systems. In: RTAS

    Google Scholar 

  • Gomaa M, Powell MD, Vijaykumar TN (2004) Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. In: ASPLOS-XI: proceedings of the 11th international conference on architectural support for programming languages and operating systems. ACM, New York, pp 260–270

    Chapter  Google Scholar 

  • Huang M, Renau J, Yoo S-M, Torrellas J (2000) A framework for dynamic energy efficiency and temperature management. In: International symposium on microarchitecture

    Google Scholar 

  • Huang W, Skadron K, Gurumurthi S, Ribando RJ, Stan MR (2009) Differentiating the roles of IR measurement and simulation for power and temperature-aware design. In: ISPASS, pp 1–10

    Google Scholar 

  • Hung W-L, Xie Y, Vijaykrishnan N, Kandemir MT, Irwin MJ (2005) Thermal-aware task allocation and scheduling for embedded systems. In: ACM/IEEE conference of design, automation, and test in Europe

    Google Scholar 

  • Kumar P, Thiele L (2011) Cool shapers: shaping real-time tasks for improved thermal guarantees. In: Proc of design automation conference (DAC 2011). ACM, San Diego

    Google Scholar 

  • Kumar A, Shang L, Peh L-S, Jha NK (2006) HybDTM: a coordinated hardware-software approach for dynamic thermal management. In: DAC, pp 548–553

    Google Scholar 

  • Le Boudec J-Y, Thiran P (2001) Network calculus—a theory of deterministic queuing systems for the Internet. Lecture notes in computer science, vol 2050. Springer, Berlin

    Google Scholar 

  • Liao W, He L, Lepak K (2005) Temperature and supply voltage aware performance and power modeling at microarchitecture level. IEEE Trans Comput-Aided Des Integr Circuits Syst 24(7):1042–1053

    Article  Google Scholar 

  • Liu Y, Dick RP, Shang L, Yang H (2007) Accurate temperature-dependent integrated circuit leakage power estimation is easy. In: DATE. EDA Consortium, San Jose, pp 1526–1531

    Google Scholar 

  • Murali S, Mutapcic A, Atienza D, Gupta R, Boyd S, Micheli GD (2007) Temperature-aware processor frequency assignment for mpsocs using convex optimization. In: CODES/ISSS

    Google Scholar 

  • Quan G, Zhang Y, Wiles W, Pei P (2008) Guaranteed scheduling for repetitive hard real-time tasks under the maximal temperature constraint. In: Gebotys CH, Martin G (eds) CODES/ISSS. ACM, New York, pp 267–272

    Chapter  Google Scholar 

  • Rai D, Yang H, Bacivarov I, Chen J-J, Thiele L (2011) Worst-case temperature analysis for real-time systems. In: Design, automation and test in Europe

    Google Scholar 

  • Skadron BK (2004) HotSpot: thermal modeling. http://lava.cs.virginia.edu/HotSpot/index.htm [Online]. Available: http://lava.cs.virginia.edu/HotSpot/index.htm

  • Skadron K, Stan MR, Sankaranarayanan K, Huang W, Velusamy S, Tarjan D (2004) Temperature-aware microarchitecture: modeling and implementation. ACM Trans Archit Code Optim 1(1):94–125

    Article  Google Scholar 

  • Thiele L, Chakraborty S, Naedele M (2000) Real-time calculus for scheduling hard real-time systems. In: ISCAS, vol 4, pp 101–104

    Google Scholar 

  • Walkey D, Smy T, MacElwee T, Maliepaard M (2001) Linear models for temperature and power dependence of thermal resistance in si, inp and gaas substrate devices. In: Seventeenth annual IEEE symposium on semiconductor thermal measurement and management, pp 228–232

    Chapter  Google Scholar 

  • Wandeler E, Thiele L (2006) Interface-based design of real-time systems with hierarchical scheduling. In: RTAS ’06: proceedings of the 12th IEEE real-time and embedded technology and applications symposium. IEEE Computer Society, Washington, pp 243–252

    Chapter  Google Scholar 

  • Wandeler BE, Thiele L (2008). Real-Time Calculus (RTC) toolbox. http://www.mpa.ethz.ch/Rtctoolbox [Online]. Available http://www.mpa.ethz.ch/Rtctoolbox

  • Wandeler E, Maxiaguine A, Thiele L (2006) Performance analysis of greedy shapers in real-time systems. In: DATE, pp 444–449

    Google Scholar 

  • Wang S, Bettati R (2006a) Delay analysis in temperature-constrained hard real-time systems with general task arrivals. In: RTSS

    Google Scholar 

  • Wang S, Bettati R (2006b) Reactive speed control in temperature-constrained real-time systems. In: Euromicro conference on real-time systems

    Google Scholar 

  • Wang S, Bettati R (2008) Reactive speed control in temperature-constrained real-time systems. Real-Time Syst 39(1–3):658–671

    Google Scholar 

  • Wang Y, Ma K, Wang X (2009) Temperature-constrained power control for chip multiprocessors with online model estimation. In: Keckler SW, Barroso LA (eds) ISCA. ACM, New York, pp 314–324

    Chapter  Google Scholar 

  • Yang Y, Gu Z, Zhu C, Dick RP, Shang L (2007) Isac: integrated space-and-time-adaptive chip-package thermal analysis. IEEE Trans Comput-Aided Des Integr Circuits Syst 26(1):86–99

    Article  Google Scholar 

  • Yang C-Y, Chen J-J, Thiele L, Kuo T-W (2010) Energy-efficient real-time task scheduling with temperature-dependent leakage. In: ACM/IEEE conference of design, automation, and test in Europe (DATE)

    Google Scholar 

  • Yao F, Demers A, Shenker S (1995) A scheduling model for reduced CPU energy. In: Symposium on foundations of computer science

    Google Scholar 

  • Zhang S, Chatha KS (2007) Approximation algorithm for the temperature-aware scheduling problem. In: ICCAD

    Google Scholar 

Download references

Acknowledgements

The work described in this paper has been funded by EU FP7 project EURETILE under grant number 247846 and partially supported by the TRANSCEND Strategic Action from Nano-Tera.ch.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hoeseok Yang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Yang, H., Bacivarov, I., Rai, D. et al. Real-time worst-case temperature analysis with temperature-dependent parameters. Real-Time Syst 49, 730–762 (2013). https://doi.org/10.1007/s11241-013-9188-y

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11241-013-9188-y

Keywords

Navigation