Skip to main content

Advertisement

Log in

Hardware-accuracy trade-offs for error-resilient applications using an ultra-efficient hybrid approximate multiplier

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

This paper proposes an ultra-efficient approximate multiplier based on imprecise 4:2 compressors. The proposed approximate multiplier offers hardware-accuracy trade-offs for error-resilient applications using hardware-efficient compressors with different structures in the reduction stages. The significant reduction in the transistor count reduces the area and energy consumption of the proposed design, while the accuracy is more than enough for real-world applications such as neural networks and image processing. The hardware simulations are conducted using HSPICE with the 7 nm tri-gate FinFET model. Moreover, the accuracy criteria are evaluated using MATLAB. Our results indicate that the proposed design improves the power-delay product, energy-delay product, and area, on average, by 74%, 81%, and 56%, compared to the existing counterparts. At the same time, it offers comparable accuracy and quality metrics. This practical compromise between accuracy in different applications and hardware efficiency is evaluated using a comprehensive figure of merit criterion, which is, on average, 75% better in the proposed multiplier than in its counterparts.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6

Similar content being viewed by others

Data availability

The datasets generated during and/or analyzed during the current study are available from the corresponding author on reasonable request.

References

  1. Xu C, Song Y, Han M, Zhang H (2021) Portable and wearable self-powered systems based on emerging energy harvesting technology. Microsyst Nanoeng 7:1–14

    Article  Google Scholar 

  2. Han J, Orshansky M (2013) Approximate computing: an emerging paradigm for energy-efficient design. In: 2013 18th IEEE European Test Symposium, pp1–6

  3. Manikantta Reddy K, Vasantha MH, Nithin Kumar YB, Dwivedi D (2019) Design and analysis of multiplier using approximate 4–2 compressor. AEU-Int J Electron C 107:89–97. https://doi.org/10.1016/j.aeue.2019.05.021

    Article  Google Scholar 

  4. Roy K, Raghunathan A (2015 ) Approximate computing: an energy-efficient computing technique for error resilient applications. In: IEEE Computer Society Annual Symposium on VLSI, pp 473–475

  5. Hanif MA, Hafiz R, Shafique M (2018) Error resilience analysis for systematically employing approximate computing in convolutional neural networks. In: 2018 Design, Automation & Test in Europe Conference & Exhibition, pp 913–916

  6. Kim MS, Barrio AAD, Oliveira LT, Hermida R, Bagherzadeh N (2019) Efficient mitchell’s approximate log multipliers for convolutional neural networks. IEEE Trans Comput 68:660–675. https://doi.org/10.1109/tc.2018.2880742

    Article  MathSciNet  MATH  Google Scholar 

  7. Gupta SK, Roy K (2015) Low power robust finfet-based sram design in scaled technologies. Circuit design for reliability. Springer, New York, pp 223–253

    Google Scholar 

  8. Ahmadinejad M, Taheri N, Moaiyeri MH (2020) Energy-efficient magnetic approximate full adder with spin-hall assistance for signal processing applications. Analog Integr Circ Sig Process 102:645–657. https://doi.org/10.1007/s10470-020-01630-z

    Article  Google Scholar 

  9. Chang CH, Gu J, Zhang M (2004) Ultra low-voltage low-power cmos 4–2 and 5–2 compressors for fast arithmetic circuits. IEEE Trans Circuits Syst I Regul Pap 51:1985–1997. https://doi.org/10.1109/tcsi.2004.835683

    Article  Google Scholar 

  10. Baran D, Aktan M, Oklobdzija VG (2010) Energy efficient implementation of parallel cmos multipliers with improved compressors. In: Proceedings of the 16th ACM/IEEE international symposium on Low power electronics and design-ISLPED'10

  11. Ahmadinejad M, Moaiyeri MH, Sabetzadeh F (2019) Energy and area efficient imprecise compressors for approximate multiplication at nanoscale. AEU-Int J Electron C. https://doi.org/10.1016/j.aeue.2019.152859

    Article  Google Scholar 

  12. Ha M, Lee S (2018) Multipliers with approximate 4–2 compressors and error recovery modules. IEEE Embed Syst Lett 10:6–9. https://doi.org/10.1109/les.2017.2746084

    Article  Google Scholar 

  13. Venkatachalam S, Ko S-B (2017) Design of power and area efficient approximate multipliers. IEEE Trans Very Larg Scale Integr (VLSI) Syst 25:1782–1786. https://doi.org/10.1109/tvlsi.2016.2643639

    Article  Google Scholar 

  14. Akbari O, Kamal M, Afzali-Kusha A, Pedram M (2017) Dual-quality 4:2 compressors for utilizing in dynamic accuracy configurable multipliers. IEEE Trans Very Larg Scale Integr (VLSI) Syst 25:1352–1361. https://doi.org/10.1109/tvlsi.2016.2643003

    Article  Google Scholar 

  15. Gorantla A, Deepa P (2017) Design of approximate compressors for multiplication. ACM J Emerg Technolo Comput Syst 13:1–17. https://doi.org/10.1145/3007649

    Article  Google Scholar 

  16. Momeni A, Han J, Montuschi P, Lombardi F (2015) Design and analysis of approximate compressors for multiplication. IEEE Trans Comput 64:984–994. https://doi.org/10.1109/tc.2014.2308214

    Article  MathSciNet  MATH  Google Scholar 

  17. Ansari MS, Jiang H, Cockburn BF, Han J (2018) Low-power approximate multipliers using encoded partial products and approximate compressors. IEEE J Emerg Sel Top Circuits Syst 8:404–416. https://doi.org/10.1109/jetcas.2018.2832204

    Article  Google Scholar 

  18. Sabetzadeh F, Moaiyeri MH, Ahmadinejad M (2019) A majority-based imprecise multiplier for ultra-efficient approximate image multiplication. IEEE Trans Circuits Syst I Regul Pap 66:4200–4208. https://doi.org/10.1109/tcsi.2019.2918241

    Article  Google Scholar 

  19. Strollo AGM, Napoli E, De Caro D, Petra N, Di Meo G (2020) Comparison and extension of approximate 4–2 compressors for low-power approximate multipliers. IEEE Trans Circuits Syst I: Regul Pap 67:3021–3034

    Article  MathSciNet  MATH  Google Scholar 

  20. Khaleqi Qaleh Jooq M, Ahmadinejad M, Moaiyeri MH (2021) Ultraefficient imprecise multipliers based on innovative 4: 2 approximate compressors. Int J Circuit Theory Appl 49:169–184

    Article  Google Scholar 

  21. Fang B, Liang H, Xu D, Yi M, Sheng Y, Jiang C et al (2021) Approximate multipliers based on a novel unbiased approximate 4–2 compressor. Integration 81:17–24. https://doi.org/10.1016/j.vlsi.2021.05.003

    Article  Google Scholar 

  22. Edavoor PJ, Raveendran S, Rahulkar AD (2020) Approximate multiplier design using novel dual-stage 4: 2 compressors. IEEE Access 8:48337–48351

    Article  Google Scholar 

  23. Jinghang L, Jie H, Lombardi F (2013) New metrics for the reliability of approximate and probabilistic adders. IEEE Trans Comput 62:1760–1771. https://doi.org/10.1109/tc.2012.146

    Article  MathSciNet  MATH  Google Scholar 

  24. Wang Z, Bovik AC, Sheikh HR, Simoncelli EP (2004) Image quality assessment: from error visibility to structural similarity. IEEE Trans Image Process 13:600–612. https://doi.org/10.1109/tip.2003.819861

    Article  Google Scholar 

  25. Esposito D, Strollo AGM, Napoli E, De Caro D, Petra N (2018) Approximate multipliers based on new approximate compressors. IEEE Trans Circuits Syst I: Regul Pap 65:4169–4182

    Article  Google Scholar 

  26. Yang Z, Han J, Lombardi F (2015) Approximate compressors for error-resilient multiplier design. In: 2015 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp 183-186

  27. Afzali-Kusha H, Vaeztourshizi M, Kamal M, Pedram M (2020) Design exploration of energy-efficient accuracy-configurable dadda multipliers with improved lifetime based on voltage overscaling. IEEE Trans Very Larg Scale Integr (VLSI) Syst 28:1207–1220. https://doi.org/10.1109/tvlsi.2020.2978874

    Article  Google Scholar 

  28. Ahmadinejad M, Moaiyeri MH (2021) Energy- and quality-efficient approximate multipliers for neural network and image processing applications. IEEE Trans Emerg Top Comput. https://doi.org/10.1109/tetc.2021.3072666

    Article  Google Scholar 

  29. Clark LT, Vashishtha V, Shifren L, Gujja A, Sinha S, Cline B et al (2016) Asap7: a 7-nm finfet predictive process design kit. Microelectron J 53:105–115. https://doi.org/10.1016/j.mejo.2016.04.006

    Article  Google Scholar 

  30. Salahuddin SM, Hailong J, Kursun V (2013) A novel 6t sram cell with asymmetrically gate underlap engineered finfets for enhanced read data stability and write ability. In: International Symposium on Quality Electronic Design (ISQED), pp 353–358

  31. Arasteh A, Hossein Moaiyeri M, Taheri M, Navi K, Bagherzadeh N (2018) An energy and area efficient 4:2 compressor based on finfets. Integration 60:224–231. https://doi.org/10.1016/j.vlsi.2017.09.010

    Article  Google Scholar 

  32. Ansari MS, Mrazek V, Cockburn BF, Sekanina L, Vasicek Z, Han J (2020) Improving the accuracy and hardware efficiency of neural networks using approximate multipliers. IEEE Trans Very Larg Scale Integr (VLSI) Syst 28:317–328. https://doi.org/10.1109/tvlsi.2019.2940943

    Article  Google Scholar 

  33. LeCun Y, Cortes C, Burges C (2010) “MNIST handwritten digit database,” AT&T Labs. [Online]. Available: http://yann.lecun.com/exdb/mnist

  34. Netzer Y, Wang T, Coates A, Bissacco A, Wu B, Ng AY (2011) Reading digits in natural images with unsupervised feature learning. In: Proc. Neural Inf. Process. Syst. NIPS Workshop on Deep Learning and Unsupervised Feature Learning, Art. no. 5

  35. Lecun Y, Bottou L, Bengio Y, Haffner P (1998) Gradient-based learning applied to document recognition. Proc IEEE 86:2278–2324. https://doi.org/10.1109/5.726791

    Article  Google Scholar 

  36. Mrazek V, Sarwar SS, Sekanina L, Vasicek Z, Roy K (2016) Design of power-efficient approximate multipliers for approximate artificial neural networks. In: Proceedings of the 35th International Conference on Computer-Aided Design, pp 1–7

Download references

Funding

The authors received no financial support for the research, authorship, and publication of this article.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mohammad Hossein Moaiyeri.

Ethics declarations

Conflict of interest

No conflict of interest exists in the submission of this article, and the article was approved by all the authors.

Ethical approval

This article does not contain any studies with human participants or animals performed by any of the authors.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Shirkavand Saleh Abad, S., Moaiyeri, M. Hardware-accuracy trade-offs for error-resilient applications using an ultra-efficient hybrid approximate multiplier. J Supercomput 79, 3357–3372 (2023). https://doi.org/10.1007/s11227-022-04789-6

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-022-04789-6

Keywords

Navigation