Skip to main content
Log in

Proactive task migration with a self-adjusting migration threshold for dynamic thermal management of multi-core processors

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Request for more computation power steadily forces designers to provide more powerful processors using more number of cores on a single chip. The increasing complexity of processors leads to higher integration density, power density, and temperature. For avoiding thermal emergencies, various dynamic thermal management techniques have been presented. In this paper, we present a novel online self-adjusting temperature threshold schema for dynamic thermal management to minimize both average and peak temperature with very low performance overhead. Our proposed algorithm adjusts migration threshold according to workload and hardware platforms. The experimental results indicate that our technique can significantly decrease the average and peak temperature compared to Linux standard scheduler, and two well-known thermal management techniques: PDTM and TAS.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  1. Kong J, Chung SW, Skadron K (2012) Recent thermal management techniques for microprocessors. ACM Comput Surv 44(3):13:1–13:42

    Article  Google Scholar 

  2. Liu H, Lee EK, Pompili D, Kong X (2012) Thermal camera networks for large datacenters using real-time thermal monitoring mechanism. J Supercomput 64(2):1–26

    Google Scholar 

  3. Sheikh HF, Ahmad I, Wang Z, Ranka S (2012) An overview and classification of thermal-aware scheduling techniques for multi-core processing systems. Sustain Comput Inform Syst 2(3):151–169

    Google Scholar 

  4. Wang L, Khan S, Dayal J (2011) Thermal aware workload placement with task-temperature profiles in a data center. J Supercomput 61(3):780–803

    Google Scholar 

  5. Lee EK, Kulkarni I, Pompili D, Parashar M (2012) Proactive thermal management in green datacenters. J Supercomput 60(2):165–195

    Article  Google Scholar 

  6. Liu G, Fan M, Quan G (2012) Neighbor-aware dynamic thermal management for multi-core platform. In: DATE, pp 187–192

  7. Hanumaiah V, Vrudhula S, Chatha KS (2011) Performance optimal online DVFS and task migration techniques for thermally constrained multi-core processors. IEEE Trans Comput Aided Des Integr Circuit Syst 30(11):1677–1690

    Article  Google Scholar 

  8. Cai Q, Gonzalez J, Magklis G, Chaparro P, Gonzalez A (2011) Thread shuffling: Combining DVFS and thread migration to reduce energy consumptions for multi-core systems. In: Proceedings of ISLPED, pp 379–384

  9. Yun B, Shin KG, Wang S (2011) Thermal-aware scheduling of critical applications using job migration and power-gating on multi-core chips. In Proceedings of TRUSTCOM, pp 1083–1090

  10. Choi J, Cher C-Y, Franke H, Hamann H, Weger A, Bose P (2007) Thermal-aware task scheduling at the system software level. In ISLPED, pp 213–218

  11. Yang J, Zhou X, Chrobak M, Zhang Y, Jin L (2008) Dynamic thermal management through task scheduling. In: ISPASS, pp 191–201

  12. Ge Y, Malani P, Qiu Q (2010) Distributed task migration for thermal management in many-core systems. In: DAC, pp 579–584

  13. Wu G, Xu Z, Xia Q, Ren J, Xia F (2010) Task allocation and migration algorithm for temperature-constrained real-time multi-core systems. In: Proceedings of GreenCom, pp 189–196

  14. Almeida G-M, Varyani S, Busseuil R, Sassatelli G, Benoit P, Torres L (2010) Evaluating the impact of task migration in multi-processor systems-on-chip. In: Proceedings of SBCCI, pp 73–78

  15. Michaud P, Seznec A, Fetis D, Sazeides Y, Constantinou T (2007) A study of thread migration in temperature-constrained multicores. ACM Trans Archit Code Optim 4(2):9

    Article  Google Scholar 

  16. Rangan KK, Wei G-Y, Brooks D (2009) Thread motion: fine-grained power management for multi-core systems. In: Proceedings of ISCA, pp 302–313

  17. Gomaa M, Powell MD, Vijaykuma TN (2004) Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. In: ASPLOS, pp 260–270

  18. Coskun A, Rosing T, Gross K (2008) Proactive temperature management in MPSoCs. In Proceedings of International Symposium on Low Power Electronics and Design, pp 165–170

  19. Yeo I, Liu CC, Kim EJ (2008) Predictive dynamic thermal management for multicore systems. In: DAC, pp 734–739

  20. Yeo I, Jung Kim E (2009) Temperature-aware scheduler based on thermal behavior grouping in multicore systems. In: DATE, pp 946–951

  21. Han Y, Koren I, Moritz CA (2005) Temperature aware floorplanning. In: Second Workshop on Temperature-Aware Computer Systems

  22. Wang S, Bettati R (2006) Reactive speed control in temperature-constrained real-time systems. In: ECRTS, pp 73–95

  23. MacKay DJC (2003) Information theory, inference, and learning algorithms. Cambridge University Press, Cambridge. Available: http://www.inference.phy.cam.ac.uk/mackay/itila/

  24. LM Sensors Linux hardware monitoring [Online]. Available: http://www.lm-sensors.org

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bagher Salami.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Salami, B., Baharani, M. & Noori, H. Proactive task migration with a self-adjusting migration threshold for dynamic thermal management of multi-core processors. J Supercomput 68, 1068–1087 (2014). https://doi.org/10.1007/s11227-014-1140-y

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-014-1140-y

Keywords

Navigation