Skip to main content
Log in

Profile-based dynamic pipeline scaling

  • Published:
The Journal of Supercomputing Aims and scope Submit manuscript

Abstract

Low power has played an increasingly important role for embedded systems. To save power, lowering voltage and frequency is very straightforward and effective; therefore, dynamic voltage scaling (DVS) has become a prevalent low-power technique. However, DVS makes no effect on power saving when the voltage reaches a lower bound. Fortunately, a technique called dynamic pipeline scaling (DPS) can overcome this limitation by switching pipeline modes at low-voltage level. Approaches proposed in previous work on DPS were based on hardware support. From viewpoint of compiler, little has been addressed on this issue. This paper presents a DPS optimization technique at compiler time to reduce power dissipation. The useful information of an application is exploited to devise an analytical model to assess the cost of enabling DPS mechanism. As a consequence, we can determine the switching timing between pipeline modes at compiler time without causing significant run-time overhead. The experimental result shows that our approach is effective in reducing energy consumption.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Burd T, Brodersen R (2000) Design issues for dynamic voltage scaling. In: International symposium on low power electronics and design, 2000

  2. Efthymiou A, Garside JD (2002) Adaptive pipeline depth control for processor power-management. In: IEEE international conference on computer design: VLSI in computers and processors, 2002

  3. Ernst D, Kim NS, Das S, Pant S, Rao R, Pham T, Ziesler C, Blaauw D, Austin T, Flautner K, Mudge T (2003) Razor: A low-power pipeline based on circuit-level timing speculation. In: The 36th international symposium on microarchitecture, 2003

  4. Hartstein A, Puzak TR (2002) The optimum pipeline depth for a microprocessor. In: ACM/IEEE international symposium on computer architecture, 2002

  5. Hiraki M, Bajwa RS, Kojima H, Corny DJ, Nitta K, Shridhar A, Sasaki K, Seki K (1996) Stage-skip pipeline: a low power processor architecture using a decoded instruction buffer. In: International symposium on low power electronics and design, 1996

  6. Hsu C, Kremer U (2003) The design, implementation, and evaluation of a compiler algorithm for CPU power reduction. In: The ACM SIGPLAN conference on programming languages design and implementation, 2003

  7. Kessler R, McLellan E, Webb D (1998) The alpha 21264 microprocessor architecture. In: Intl conf computer design, 1998, pp 90–95

  8. Koppanalil J, Ramrakhyani P, Desai S, Vaidyanathan A, Rotenberg E (2002) A case for dynamic pipeline scaling. In: International conference on compilers, architecture, and synthesis for embedded systems, 2002

  9. Krishna C, Lee Y-H (2000) Voltage-clock-scaling adaptive scheduling techniques for low power in hard real-time systems. In: The 6th real time technology and applications symposium, 2000

  10. Lalja DJ (1988) Reducing the branch penalty in pipelined processors. Computer 21(7):47–55

    Article  Google Scholar 

  11. Manne S, Grunwald D, Klauser A (1998) Pipeline gating: speculation control for energy reduction. In: ACM/IEEE international symposium on computer architecture, 1998

  12. Parikh D, Skadron K, Zhang Y, Stan M (2004) Power-aware branch prediction: characterization and design. IEEE Trans Comput

  13. Shimada H, Ando H, Shimada T (2003) Pipeline stage unification: a low-energy consumption technique for future mobile processors. In: 2003 International symposium on low power electronics and design. ACM Press, 2003, pp 326–329

  14. Shimada H, Ando H, Shimada T (2006) A hybrid power reduction scheme using pipeline stage unification and dynamic voltage scaling. In: 9th IEEE symposium on low-power and high-speed chips (COOL Chips IX), 2006, pp 201–214

  15. Shimada H, Ando H, Shimada T (2007) Power consumption reduction through combining pipeline stage unification and dvs. IPSJ Trans Adv Comput Syst 48(3):75–87

    Google Scholar 

  16. Srinivasan V, Brooks MGD, Bose VZP, Strenski P, Emma PG (2002) Optimizing pipelines for power and performance. In: 35th anuual international symposium on microarchitecture, Istanbul, Turkey, Nov 2002, pp 333–344

  17. SUIF. SUIF-Stanford University Intermediate Format. http://suif.stanford.edu

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Rong-Guey Chang.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Cheng, KW., Lin, TY. & Chang, RG. Profile-based dynamic pipeline scaling. J Supercomput 48, 210–226 (2009). https://doi.org/10.1007/s11227-008-0224-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11227-008-0224-y

Keywords

Navigation