Skip to main content
Log in

Test Set Compression Through Alternation Between Deterministic and Pseudorandom Test Patterns

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

This paper presents a new reseeding technique that reduces the storage required for the seeds as well as the test application time by alternating between ATPG and reseeding to optimize the seed selection. The technique avoids loading a new seed into the PRPG whenever the PRPG can be placed in a state that generates test patterns without explicitly loading a seed. The ATPG process is tuned to target only undetected faults as the PRPG goes through its natural sequence which is maximally used to generate useful test patterns. The test application procedure is slightly modified to enable higher flexibility and more reduction in tester storage and test time. The results of applying the technique show up to 90% reduction in tester storage and 80% reduction in test time compared to classic reseeding. They also show 70% improvement in defect coverage when the technique is emulated on test chips with real defects.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3

Similar content being viewed by others

References

  1. Al-Yamani A, McCluskey EJ (2003) Built-in reseeding for serial BIST. VLSI Test Symposium. Apr

  2. Al-Yamani A, McCluskey EJ (2003) Seed encoding with LFSRs and cellular automata. Design Automation Conference, June

  3. Al-Yamani A, Mitra S, McCluskey EJ (2003) BIST Reseeding with very few seeds. VTS, Apr

  4. Al-Yamani AA, Mitra S, McCluskey EJ (2005) Optimized reseeding by seed ordering and encoding. IEEE Trans Computer Aided Design (TCAD’05) 24(2):264–271, 2005

    Google Scholar 

  5. Balakrishnan KJ (2007) Efficient scan-based BIST using multiple LFSRs and dictionary coding. IEEE International Conference on VLSI Design pp 345–350, Jan

  6. Balakrishnan KJ, Touba N (2006) Improving linear test data compression. IEEE Trans VLSI 14(11):1227–1237

    Article  Google Scholar 

  7. Bardell PH, McAnney W, Savir J (1987) Built-in test for VLSI. Wiley, New York

    Google Scholar 

  8. Chang J, McCluskey EJ (1996) Quantitative analysis of very-low-voltage testing. Proceedings of VLSI Test Symposium (VTS’96), pp 332–337

  9. Eichelberger EB, Lindbloom E (1983) Random-pattern coverage enhancement and diagnosis for LSSD logic self-test. IBM J Res Dev 27(3):265–272

    Article  Google Scholar 

  10. Eichelberger E, Lindbloom E, Motica F, Waicukauski J (1989) Weigted random pattern testing apparatus and method. US Patent 4,801,870, Jan

  11. Fagot C, Gascuel O, Girard P, Landrault C (1999) On calculating efficient LFSR seeds for built-in self test. Proc. of European Test Workshop, pp 7–14

  12. Franco P et al (1995) An experimental chip to evaluate test techniques chip and experiment design. Proceedings of International Test Conference (ITC’95), pp 653–662

  13. Hellebrand S, Rajski J, Tarnick S, Venkataraman S, Courtois B (1995) Built-in test for circuits with scan based on reseeding of multiple-polynomial linear feedback shift registers. IEEE Trans Comput 44(2):223–233

    Article  MATH  Google Scholar 

  14. Hellebrand S, Reeb B, Tarnick S, Wunderlich H-J (1995) Pattern generation for a deterministic BIST scheme. IEEE ICCAD, pp 88–94, Nov

  15. Kalligeros E, Kaseridis D, Kavousianos X, Nikolos D (2005) Reseeding-based test set embedding with reduced test sequences. IEEE International Symposium on Quality Electronics Design, pp 226–231, March

  16. Koenemann B (1991) LFSR-coded test patterns for scan designs. European Test Conf, pp 237–242

  17. Koenemann B (2000) System for test data storage reduction. US Patent 6,041,429, Mar

  18. Koenemann B, Barnhart C, Keller B, Snethen T, Farnsworth O, Wheater D (2001) A SmartBIST variant with guaranteed encoding. IEEE Asian Test Symposium, pp 325–330

  19. Krishna CV, Jas A, Touba N (2001) Test vector encoding using partial LFSR reseeding. Proc. of International Test Conference, pp 885–893

  20. Lempel M, Gupta S, Breuer M (1995) Test embedding with discrete logarithms. IEEE Trans CAD 14(5):554–566

    Google Scholar 

  21. Li JCM, Chang JT-Y, Tseng CW, McCluskey EJ (1999) ELF35 experiment—chip and experiment design. CRC TR 99-3, http://crc.stanford.edu/, Oct

  22. Ma SC, Franco P, McCluskey EJ (1995) An experimental chip to evaluate test techniques experimental results. Proceedings of International Test Conference (ITC’95), pp 663–672

  23. McCluskey EJ (1985) Built-in self-test techniques. IEEE Design & Test, pp 21–28, Apr

  24. McCluskey EJ, Al-Yamani A et al (2004) ELF-murphy data on defects and test sets. VTS’04, Apr

  25. Neophytou S, Michael MK, Tragoudas S (2006) Efficient deterministic test generation for BIST schemes with LFSR reseeding. IEEE International On-Line Testing Symposium, July

  26. Rajski J, Tyszer J, Kassab M, Mukherjee N (2004) Embedded deterministic test. IEEE Trans Comput Aided Des Integr Circuits Syst 23(5):776–792

    Article  Google Scholar 

  27. Rajski J, Tyszer J, Zacharia N (1998) Test data decompression for multiple scan designs with boundary scan. IEEE Trans Comput 47(11):1188–1200

    Article  Google Scholar 

  28. Touba NA, McCluskey EJ (1996) Test point insertion based on path tracing. VTS pp 2–8

  29. Touba N, McCluskey EJ (2000) Altering bit sequence to contain predetermined patterns. US Patent 6,061,818, May

  30. Volkerink E, Mitra S (2003) Efficient seed utilization for reseeding based compression. VLSI Test Symposium (VTS’03), pp 232–237, April 2004

  31. Wohl P, Waicukauski JA, Patel S, Amin MB (2003) Efficient compression and application of deterministic patterns in a logic BIST architecture. Design Automation Conference, 566–569

  32. Wunderlich H-J (1990) Multiple distributions for biased random test patterns. IEEE Trans CAD 9(6):584–593

    Google Scholar 

Download references

Acknowledgments

This work was supported by King Fahd University of Petroleum and Minerals and by LSI Logic under contract No. 16517.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ahmad A. Al-Yamani.

Additional information

Responsible Editor: K. Chakrabarty

Rights and permissions

Reprints and permissions

About this article

Cite this article

Al-Yamani, A.A., McCluskey, E.J. Test Set Compression Through Alternation Between Deterministic and Pseudorandom Test Patterns. J Electron Test 26, 513–521 (2010). https://doi.org/10.1007/s10836-010-5172-9

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-010-5172-9

Keywords

Navigation