Skip to main content
Log in

A new representation in 3D VLSI floorplan: 3D O-Tree

  • Published:
Genetic Programming and Evolvable Machines Aims and scope Submit manuscript

Abstract

The size of the implemented circuit plays a vital role in maximizing the performance of the chip. Hence, researchers are looking to utilize the extra dimension to improve performance, which requires the development of new methods and techniques for efficient implementation. This paper proposes a new, simple, efficient representation in 3D VLSI Floorplan named 3D O-Tree representation for Electronic Design Automation (EDA). Since the 3D floorplan packing problem is NP-hard (Nondeterministic Polynomial time), the novel representation is accompanied with an adaptive modified Memetic Algorithm with a kill strategy for fast performance. The tool presented in this paper employs Genetic Algorithm for global exploration, and an improved compatible local technique is used to exploit promising search regions for an improved solution. This representation has been found to be effective in obtaining an efficient packed 3D floorplan. In the case of okp benchmarks, the proposed algorithm has achieved the best stated minimum volume yet for okp1 and okp3 benchmarks with 4.62% and 1.87% improvement respectively. The rest of the okp benchmarks have achieved near-to-best previous results. When checked on four standard Beasley benchmarks, better floorplans have been achieved with the proposed representation. They are found to be more effective and efficient than the current state-of-the-art research, with a range of 1.6% to 9.29% improvement as compared to the volume of the previous best-reported results. Beasley 7 retains the previous best solution in terms of volume, improving significantly in time to achieve the solution. Also, the required optimization time is reduced substantially to achieve the best previous results. The statistical analysis also shows the efficacy of the proposed technique.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20

Similar content being viewed by others

References

  1. I.M. Abdel-Motaleb, An overview of design, fabrication, and cooling techniques of 3D-ICs, in Proceedings of International Conference on ASIC (2021), pp. 1–4. https://doi.org/10.1109/ASICON52560.2021.9620436

  2. D.M. Abdullah, W.M. Abdullah, N.M. Babu, M.M.I. Bhuiyan, K.M. Nabi, M.S. Rahman, VLSI floorplanning design using clonal selection algorithm, in 2013 International Conference on Informatics, Electronics and Vision, ICIEV 2013 (2013), pp. 1–6. https://doi.org/10.1109/ICIEV.2013.6572664

  3. Y.-C. Chang, Y.-W. Chang, G.-M. Wu, S.-W. Wu, B*-Trees: a new representation for non-slicing floorplans (2000), pp. 458–463. https://doi.org/10.1109/DAC.2000.855354

  4. G. Chen, W. Guo, Y. Chen, A PSO-based intelligent decision algorithm for VLSI floorplanning. Soft. Comput. 14, 1329–1337 (2010). https://doi.org/10.1007/s00500-009-0501-6

    Article  Google Scholar 

  5. J. Chen, Y. Liu, Z. Zhu, W. Zhu, An adaptive hybrid memetic algorithm for thermal-aware non-slicing VLSI floorplanning. Integration 58, 245–252 (2017). https://doi.org/10.1016/j.vlsi.2017.03.006245-252

    Article  Google Scholar 

  6. J. Chen, W. Zhu, A hybrid genetic algorithm for VLSI floorplanning, in Proceedings—2010 IEEE International Conference on Intelligent Computing and Intelligent Systems, ICIS 2010, vol. 2 (2010), pp. 128–132. https://doi.org/10.1109/ICICISYS.2010.5658785

  7. J. Chen, W. Zhu, M.M. Ali, A hybrid simulated annealing algorithm for nonslicing VLSI floorplanning. IEEE Trans. Syst. Man Cybern. Part C Appl. Rev. 41, 544–553 (2011). https://doi.org/10.1109/TSMCC.2010.2066560

    Article  Google Scholar 

  8. L. Cheng, L. Deng, M.D.F. Wong, Floorplanning for 3-D VLSI design, in Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC, vol. 1 (2005), pp. 405–411. https://doi.org/10.1109/ASPDAC.2005.1466197

  9. S. Dong, R. Wang, F. Guo, J. Yuan, X. Hong, Floorplanning by a revised 3-D corner block list with sub-C+-tree, in Proceedings of the 9th Joint Conference on Information Sciences, JCIS 2006 (2006), pp. 429–432. https://doi.org/10.2991/jcis.2006.215

  10. S.P. Fekete, J. Schepers, J.C. Van Der Veen, An exact algorithm for higher-dimensional orthogonal packing. Oper. Res. 55, 569–587 (2006)

    Article  MathSciNet  Google Scholar 

  11. R. Gupta, S.S. Gill, Adaptive memetic algorithm on novel CBLSP algorithm for O-Tree implementation, in Advances in VLSI and Embedded Systems. Lecture Notes in Electrical Engineering, vol. 962, ed. by A.D. Darji, D. Joshi, A. Joshi, R. Sheriff (Springer, Singapore, 2023), pp.281–291. https://doi.org/10.1007/978-981-19-6780-1_22

    Chapter  Google Scholar 

  12. P.-N. Guo, C.-K. Cheng, T. Yoshimura, An O-Tree representation of non-slicing floorplan and its applications (2003), pp. 268–273. https://doi.org/10.1109/DAC.1999.781324

  13. E. Hadjiconstantinou, N. Christofides, An exact algorithm for general, orthogonal, two-dimensional knapsack problems. Eur. J. Oper. Res. 83, 39–56 (1995). https://doi.org/10.1016/0377-2217(93)E0278-6

    Article  Google Scholar 

  14. X. Hong, G. Huang, Y. Cai, J. Gu, S. Dong, C.K. Cheng, J. Gu, Corner block list: an effective and efficient topological representation of non-slicing floorplan, in IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD. 2000-January (2000), pp. 8–12. https://doi.org/10.1109/ICCAD.2000.896442

  15. I. Kang, F. Qiao, D. Park, D. Kane, E.F. Yu Young, C.K. Cheng, R. Graham, Three-dimensional floorplan representations by using corner links and partial order. ACM Trans. Des. Autom. Electron. Syst. (TODAES). 24, 1–33 (2018). https://doi.org/10.1145/3289179

    Article  Google Scholar 

  16. M. Kang, W.W.M. Dai, General floorplanning with L-shaped, T-shaped and soft blocks based on bounded slicing grid structure, in Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC (1997), pp. 265–270. https://doi.org/10.1109/ASPDAC.1997.600145

  17. C.T. Lin, D.S. Chen, Y.W. Wang, GPE: a new representation for VLSI floorplan problem, in Proceedings—IEEE International Conference on Computer Design: VLSI in Computers and Processors (2002), pp. 42–44. https://doi.org/10.1109/ICCD.2002.1106745

  18. Y. Ma, X. Hong, S. Dong, C.K. Cheng, 3D CBL: an efficient algorithm for general 3-dimensional packing problems, in Midwest Symposium on Circuits and Systems 2005 (2005), pp. 1079-1082https://doi.org/10.1109/MWSCAS.2005.1594292

  19. J. McDermott, Graph grammars for evolutionary 3D design. Genet. Program Evolvable Mach. 14, 369–393 (2013). https://doi.org/10.1007/s10710-013-9190-0

    Article  Google Scholar 

  20. H. Murata, K. Fujiyoshi, S. Nakatake, Y. Kajitani, Rectangle-packing-based module placement, in Proceedings of IEEE International Conference on Computer Aided Design (ICCAD) (1995), pp. 472–479. https://doi.org/10.1109/ICCAD.1995.480159

  21. H. Onodera, Y. Taniguchi, K. Tamaru, Branch-and-bound placement for building block layout, in Proceedings of the Design Automation Conference (1991), pp. 433–439. https://doi.org/10.1145/127601.127708

  22. S. Salewski, E. Barke, An upper bound for 3D slicing floorplans, in Proceedings—15th International Conference on VLSI Design 7th Asia and South Pacific Design Automation Conference, ASP-DAC/VLSI Design 2002 (2002), pp. 567–572. https://doi.org/10.1109/ASPDAC.2002.994982

  23. X. Shi, L.L. Minku, X. Yao, A novel tree-based representation for evolving analog circuits and its application to memristor-based pulse generation circuit. Genet. Program Evolvable Mach. 23, 453–493 (2022). https://doi.org/10.1007/s10710-022-09436-w

    Article  Google Scholar 

  24. M. Tang, X. Yao, A memetic algorithm for VLSI floorplanning. IEEE Trans. Syst. Man Cybern. B Cybern. 37, 62–69 (2007). https://doi.org/10.1109/TSMCB.2006.883268

    Article  Google Scholar 

  25. C.L. Valenzuela, P.Y. Wang, VLSI placement and area optimization using a genetic algorithm to breed normalized postfix expressions. IEEE Trans. Evol. Comput. 6, 390–401 (2002). https://doi.org/10.1109/TEVC.2002.802872

    Article  Google Scholar 

  26. R. Wang, E.F.Y. Young, Y. Znu, F.C. Graham, R. Graham, C.K. Cheng, 3-D floorplanning using labeled tree and dual sequences, in Proceedings of the International Symposium on Physical Design (2008), pp. 54–59. https://doi.org/10.1145/1353629.1353641

  27. D.F. Wong, C.L. Liu, A new algorithm for floorplan design, in Proceedings of the Design Automation Conference (1986), pp. 101–107. https://doi.org/10.1109/DAC.1986.1586075.

  28. P.H. Yuh, C.L. Yang, Y.W. Chang, Temporal floorplanning using the T-tree formulation, in IEEE/ACM International Conference on Computer-Aided Design, Digest of Technical Papers, ICCAD (2004), pp. 300–305. https://doi.org/10.1109/ICCAD.2004.1382590.

  29. P.H. Yuh, C.L. Yang, Y.W. Chang, H.L. Chen, Temporal floorplanning using 3D-subTCG, in Proceedings of the Asia and South Pacific Design Automation Conference, ASP-DAC (2004), pp. 725–730. https://doi.org/10.1109/ASPDAC.2004.1337688

  30. L. Zhang, S. Dong, X. Hong, Y. Ma, A fast 3D-BSG algorithm for 3D packing problem, in Proceedings—IEEE International Symposium on Circuits and Systems (2007), pp. 2044–2047. https://doi.org/10.1109/ISCAS.2007.378499

Download references

Acknowledgements

The authors would like to extend gratitude to Dean RIC, I. K. Gujral, Punjab Technical University, Kapurthala, for support in the completion of this research work.

Funding

This research received no financial support and has no funding source.

Author information

Authors and Affiliations

Authors

Contributions

The corresponding author has worked under the supervision of co-author. The work has been commenced equally. All authors have read and approved the manuscript for publication.

Corresponding author

Correspondence to Rohin Gupta.

Ethics declarations

Competing interests

The authors declare no competing interests.

Ethical approval

The submitted work is original. The results/data/figures in this manuscript have not been published elsewhere, nor are they under consideration (from you or one of your Contributing Authors) by another publisher. All of the material is owned by the authors and/or no permissions are required.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Gupta, R., Gill, S.S. A new representation in 3D VLSI floorplan: 3D O-Tree. Genet Program Evolvable Mach 25, 12 (2024). https://doi.org/10.1007/s10710-024-09485-3

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s10710-024-09485-3

Keywords

Navigation