Skip to main content

Advertisement

Log in

Evaluation of hybrid MRAM/CMOS cells for “normally-off and instant-on” computing

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

To meet the ever-growing demand for higher computing throughput, the clock frequency of the processor was continually increased. After decades of success, this trend stopped at frequencies of 2–3 GHz due to heating issues and energy consumption. To keep pace, multi-core processor architectures began to rise. This, in turn, significantly increased the amount of the SRAM-based cache memory required. As a result, cache memory now occupies large proportion of recent processor chips. In addition, it has become a major source of the leakage power consumption. The power gating technique applied on a SRAM cache is not efficient since it is paid by data loss and by the significant time and the energy required to retrieve the lost data. In this paper, we present three memory cells that can overcome this issue. They combine a conventional volatile CMOS part with magnetic tunnel junctions (MTJs) able to store a data bit in a non-volatile way. Being inherently non-volatile, these hybrid cells enable instantaneous power off and thus complete reduction of the leakage power. Moreover, given that the data bit can be stored in local MTJs and not in distant storage memories, these cells also offer instantaneous and efficient data retrieval. To demonstrate their functionality, the cells are designed using 28 nm FD-SOI technology for the CMOS part and 45 nm round spin transfer torque MTJs (STT-MTJs) with perpendicular magnetization anisotropy. We report the measured performances of the cells in terms of required silicon area, robustness, read/write speed and energy consumption. We also demonstrate that the body-biasing technique offered by the FD-SOI technology can be used to boost the performances of the hybrid cells.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14

Similar content being viewed by others

References

  1. Hennessy, J., & Patterson, D. (2011). Computer architecture: A quantitative approach (5th ed.). San Francisco: Morgan Kaufmann.

    Google Scholar 

  2. Kawahara, T. (2010). Scalable spin-transfer torque ram technology for normally-off computing. IEEE Digest & Test of Computers, 28(1), 52–63.

    Article  Google Scholar 

  3. Ando, K., Ikegawa, S., Abe, K., Fujita, S., & Yoda, H. (2012). Roles of non-volatile devices in future computer systems: normally-off computers. In N. Kaabouch & W. C. Hu (Eds.), Energy-aware systems and networking for sustainable initiatives (pp. 83–107). Hershey, PA: Information Science Reference.

    Chapter  Google Scholar 

  4. Wilkes, M. (2001). The memory gap and the future of high performance memories. ACM Computer Architecture News, 29(1), 2–7.

    Article  Google Scholar 

  5. Rech, P., Galliere, J.-M., Girard, P., Wrobel, F., Saigne, F., & Dilillo, L. (2011). Impact of resistive-open defects on SRAM error rate induced by alpha particles and neutrons. IEEE Transactions on Nuclear Science, 58(3), 855–861.

    Article  Google Scholar 

  6. Giraud, B., Amara, A., & Vladimirescu, A. (2007). A comparative study of 6T and 4T SRAM cells in double-gate CMOS with statistical variation. Proceedings of the IEEE International Symposium on Circuits and Systems (pp. 3022–3025).

  7. Sandeep, R., Deshpande, N. T., & Aswatha, A.R. (2009). Design and analysis of a new loadless 4T SRAM cell in deep submicron CMOS technologies. Proceedings of the 2nd International Conference on Emerging Trends in Engineering and Technology (pp. 155–161).

  8. Abe, K., Fujita, S., & Lee, H. (2005). Novel Nonvolatile Logic Circuits with Three–Dimensionally Stacked Nanoscale Memory Device. Proceedings of Nanotechnology Conference (pp. 203-206).

  9. Semiconductor Industry Association (SIA). (2011) International technology roadmap for semiconductors. San Jose, CA: Semiconductor Industry Association (SIA).

  10. James, S., Arujo, P., & Carlos, A. (1989). Ferroelectric memories. Science, 246(4936), 1400–1405.

    Article  Google Scholar 

  11. Wong, H., Raoux, S., Kim, S., Liang, J., Reifenberg, J. P., Rajendran, B., et al. (2010). Phase change memory. Proceedings of the IEEE, 98(12), 2201–2227.

    Article  Google Scholar 

  12. Chappert, C., Fert, A., & Dau, V. (2007). The emergence of spin electronics in data storage. Nature Materials, 6(11), 813–823.

    Article  Google Scholar 

  13. Zhao, W., Belhaire, E., Chappert, C., & Mazoyer, P. (2008). Spintronic device based non-volatile low standby power SRAM. Proceedings of IEEE Annual Symposium on VLSI (pp. 40–45).

  14. Slaughter, J., et al. (2010). Toggle and spin-torque MRAM: status and outlook. Journal of the Magnetic Society of Japan, 5, 171.

    Google Scholar 

  15. Ikeda, S., Sato, H., Yamanouchi, M., Gan, H., Miura, K., Mizunuma, K., et al. (2012). Recent progress of perpendicular anisotropy Magnetic Tunnel Junctions for nonvolatile VLSI. Journal of SPIN, 2(3), 1240003-1–124003-12.

  16. W. Kim et al. (2011). Extended scalability of perpendicular STT-MRAM towards sub-20 nm MTJ node. Proceedings of IEEE International Electron Devices Meeting (pp. 24.1.1–24.1.4).

  17. Kawahara, T., Ito, K., Takemara, R., & Ohno, H. (2012). Spin-transfer torque RAM technology: review and prospect. Microelectronics Reliability, 52(4), 613–627.

    Article  Google Scholar 

  18. Chen, P., Feng, G., & Shull, R. (2013). Use of half metallic Heusler alloys in CoFeB/MgO/Heusler alloy tunnel junctions. IEEE Transactions on Magnetics, 49(7), 4379–4382.

    Article  Google Scholar 

  19. Sterwerf, C., Meinert, M., Schmalhorst, J., & Reiss, G. (2013). High TMR ratio in Co2FeSi and Fe2CoSi based magnetic tunnel junctions. IEEE Transactions on Magnetics, 49(7), 4386–4389.

    Article  Google Scholar 

  20. Zhao, W., Belhaire, E., Chappert, C., & Mazoyer, P. (2009). Power and area optimization for run-time reconfiguration SOPC based on MRAM. IEEE Transactions on Magnetics, 45(2), 776–780.

    Article  Google Scholar 

  21. Hass, K. J. (2006). Magnetic shadow RAM. Proceedings of IEEE Non Volatile Memory Technology Symposium (pp. 45–48).

  22. Gallaher, W. J., & Parkin, S. S. P. (2006). Development of the magnetic tunnel junction MRAM at IBM: from first junctions to a 16-Mb MRAM demonstrator chip. IBM Journal of Research and Development, 50(1), 5–23.

    Article  Google Scholar 

  23. Torres, L., Guillemenet, Y., & Ahmed, S. (2010). A dynamic reconfigurable MRAM based FPGA. Proceedings of International Conference on Engineering of Reconfigurable Systems and Algorithms (pp. 31–40).

  24. Suzuki, D., Natsui, M., Ikeda, S., Hasegawa, H., Miura, K., Hayakawa, J., et al. (2009). Fabrication of a nonvolatile lookup-table circuit chip using magneto/semiconductor hybrid structure for an immediate-power-up field programmable gate array. Proceedings of IEEE Symposium on VLSI Circuits (pp. 80–81).

  25. Berger, L. (1996). Emission of spin waves by a magnetic multilayer traversed by a current. Physical Review B, 54(13), 9353–9358.

    Article  Google Scholar 

  26. Slonczewski, J. C. (1996). Current-driven excitation of magnetic multilayers. Journal of Magnetism and Magnetic Materials, 1859(1/2), L1–L7.

    Article  Google Scholar 

  27. Yoda, H., Fujita, S., Shimomura, N., Kitagawa, E., Abe, K., Nomura, K. et al. (2012). Progress of stt-mram technology and the effect on normally-off computing systems. Proceedings of IEEE International Electron Devices Meeting (pp. 11.3.1–11.3.4).

  28. Singh, A., Schwarm, S., Mryasov, O., & Gupta, S. (2013). Interlayer exchange coupled composite free layer for CoFeB/MgO based perpendicular magnetic tunnel junctions. Journal of Applied Physics, 114, 203901.

    Article  Google Scholar 

  29. Takemura, R., Kawahara, T., Ono, K., Miura, K., Matsuoka, H. & Ohno H. (2010). Highly-scalable disruptive reading scheme for Gb-scale SPRAM and beyond. Proceedings of IEEE International Memory Workshop (pp. 1–2).

  30. Zhao, W., Devolder, T., Lakys, Y., Klein, J. O., Chappert, C., & Mazoyer, P. (2011). Design considerations and strategies for high-reliable STT-MRAM. Microelectronics Reliability, 51(9), 1454–1458.

    Article  Google Scholar 

  31. Kishi, T., Yoda, H., Kai, T., Nagase, T., Kitagawa, E., Yoshikawa, M. et al. (2008). Lower-current and fast switching of a perpendicular TMR for high speed and high density spin-transfer-torque MRAM. Proceedings of IEEE International Electron Devices Meeting (pp. 309–312).

  32. Kiagawa, E., Fujita, S., Nomura, K., Noguchi, H., Abe, K., Ikegami, K. et al. (2012). Impact of ultra low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU. Proceedings of IEEE International Electron Devices Meeting (pp. 29.4.1–29.4.4).

  33. Nomura, K., Abe, K., Yoda, H., & Fujita, S. (2012). Ultra low power processor using perpendicular-STT-MRAM/SRAM based hybrid cache toward next generation normally-off computers. Journal of Applied Physics, 111, 07E330.

    Article  Google Scholar 

  34. Ohsawa, T., Hatsuda, K., Fujita, K., Matsuoka, F., & Higashi, T. (2011). Generation of accurate reference current for data sensing in high-density memories by averaging multiple pairs of dummy cells. IEEE Journal of Solid-State Circuits, 46(9), 2148–2157.

    Article  Google Scholar 

  35. Guillemenet, Y., & Torres, L. (2012). Programmable volatile/non-volatile memory cell. Patent WO2012098184. http://www.google.com/patents/WO2012098184A1?cl=en

  36. Planes N., Weber, O., Barral, V., Haendler, S., Noblet, D., Croain, D. et al. (2012). 28 nm FDSOI technology platform for high-speed low-voltage digital applications. Proceedings of the Symposium on VLSI Technology (pp. 133–134).

  37. Ishikagi, T., Tsuchiya, R., Morita, Y., Yoshimoto, H., Sugii, N., Iwamatsu, T. et al. (2008). Silicon on thin BOX (SOTB) CMOS for ultralow standby power with forward-biasing performance booster. Proceedings of the European Solid-State Device Research Conference (pp. 198–201).

  38. Zhang, Y., Zhao, W., Lakys, Y., Klein, J.-O., Kim, J.-V., Ravelosona, D., et al. (2012). Compact modeling of perpendicular-anisotropy CoFeB/MgO magnetic tunnel junctions. IEEE Transactions on Electron Devices, 59(3), 819–826.

    Article  Google Scholar 

  39. Nakayama, M., Kai, T., Shimomura, N., Amano, M., Kitagawa, E., Nagase, T., et al. (2008). Spin transfer switching in TbCoFe/CoFeB/MgO/CoFeB/TbCoFe magnetic tunnel junctions with perpendicular magnetic anisotropy. Journal of Applied Physics, 103(7), 07A710.

    Article  Google Scholar 

  40. Ikeda, S., Miura, K., Yamamoto, H., Mizunuma, K., Gan, H. D., Endo, M., et al. (2010). A perpendicular anisotropy CoFeB–MgO magnetic tunnel junction. Nature Materials, 9(9), 721–724.

    Article  Google Scholar 

  41. Worledge, D. C., Hu, G., Abraham, D. W., Sun, J. Z., Trouilloud, P. L., Nowak, J. et al. (2011). Spin torque switching of perpendicular Ta|CoFeB|MgO-based magnetic tunnel junctions. Applied Physics Letters, 98(2), 022501-1–022501-3.

  42. Amiri, P. K., Zeng, Z. M., Upadhyaya, P., Rowlands, G., Zhao, H., Krivorotov, I. N., et al. (2011). Low write-energy magnetic tunnel junctions for high-speed spin-transfer-torque MRAM. IEEE Electron Device Letters, 32(1), 57–59.

    Article  Google Scholar 

  43. Liu, H., Bedau, D., Backes, D., Katine, J.A., Langer, J., & Kent, A.D. (2010). Ultrafast switching in magnetic tunnel junction based orthogonal spin transfer devices. Applied Physics Letters, 97(24), 242510–242510-3.

  44. Arnaud, F., Planes, N., Weber, O., Barral, V., Haendler, S., Flatresse, P. et al. (2012). Switching energy efficiency optimization for advanced CPU thanks to UTBB technology. Proceedings of IEEE International Electron Devices Meeting (pp. 3.2.1–3.2.4).

  45. Dong, X., Xu, C., Xie, Y., & Jouppi, N. (2012). NVSim: A circuit-level performance, energy, and area model for emerging nonvolatile memory. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(7), 994–1007.

    Article  Google Scholar 

  46. Wang, Y., Bhattacharya, U., Hamzaoglu, F., Kolar, P., Ng, Y., Wei, L., et al. (2010). A 4.0 GHz 291 Mb voltage-scalable SRAM design in a 32 nm High-k + Metal-Gate CMOS technology with integrated power management. IEEE Journal of Solid State Circuits, 45(1), 103–110.

  47. Flatresse, P., (2013). UTBB-FD-SOI design & migration methodology. http://cmp.imag.fr/documents/doc/UTBB-FDSOI%20Design%20and%20Migration%20Methodology_pdf. Accessed 26 March 2014.

Download references

Acknowledgments

The authors wish to acknowledge support from French National Agency for Scientific Research (ANR), through the projects DIPMEM and MARS.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Bojan Jovanović.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jovanović, B., Brum, R.M. & Torres, L. Evaluation of hybrid MRAM/CMOS cells for “normally-off and instant-on” computing. Analog Integr Circ Sig Process 81, 607–621 (2014). https://doi.org/10.1007/s10470-014-0427-5

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-014-0427-5

Keywords

Navigation