Skip to main content
Log in

A 60 GHz receiver front-end in 65 nm CMOS

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

In the past few years, the mm-wave silicon, especially 60 GHz CMOS design has experienced a transition from an obscure topic to a research hot spot. This paper presents the design of a 60 GHz receiver front-end using 65 nm CMOS technology. Initially, a heterodyne receiver front-end architecture is presented to exploit its possible compatibility with legacy systems. In order to implement the front-end, an EM simulation based methodology and the corresponding design flow are proposed. A transistor EM model, using existing compact models as core, is developed to account for the parasitic elements due to wiring stacks. A spiral inductor lumped model, based on S-parameter data from EM simulation is also derived. After the device modeling efforts, a single-stage LNA and a single-gate mixer are designed using 65 nm CMOS technology. They are characterized by EM co-simulation, and compared with the state-of-the-art. After integration, the simulated front-end achieves a conversion gain of 11.9 dB and an overall SSB noise figure of 8.2 dB, with an input return loss of −13.7 dB. It consumes 6.1 mW DC power, and its layout occupies a die area of 0.33 mm × 0.44 mm.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14

Similar content being viewed by others

References

  1. Smulders, P. (2003). 60 GHz radio: prospects and future directions. In Proceedings symposium on communications and vehicular technology, pp. 1–8.

  2. Ta, C., Wicks, B., Zhang, F., Yang, B., Mo, Y., Wang, K., et al. (2007). Issues in the Implementation of a 60 GHz transceiver on CMOS. In 2007 IEEE international workshop on radio-frequency integration technology, pp. 135–140.

  3. Smulders, P. (2002). Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions. IEEE Communications Magazine, 2(1), 140–147.

    Article  Google Scholar 

  4. Niknejad, A., & Hashemi, H. (2008). mm-Wave silicon technology: 60 GHz and beyond. New York: Springer.

    Book  Google Scholar 

  5. Hese, J. V., Sercu, J., Pissoort, D., & Lee, H. (2008). State of the art in EM software for microwave engineers [white paper]. Retrieved from http://cp.literature.agilent.com/litweb/pdf/5990-3225EN.pdf.

  6. Cohen, E., Ravid, S., & Ritter, D. (2008). An ultra low power LNA with 15 dB gain and 4.4db NF in 90 nm CMOS process for 60 GHz phase array radio. In Proceedings of the 2008 IEEE radio frequency integrated circuits symposium, pp. 61–64.

  7. Emami, S., Doan, C., Niknejad, A., & Brodersen, R. (n.d.). Large-signal millimeter-wave CMOS modeling with BSIM3. In Proceedings of the 2004 IEEE radio frequency integrated circuits symposium, pp. 163–166.

  8. Liang, C., & Razavi, B. (2009). Systematic transistor and inductor modeling for millimeter-wave design. IEEE Journal of Solid-State Circuits, 44(2), 450–457.

    Article  Google Scholar 

  9. IBM Microelectronics (2008). On-chip inductors and their figures of merit [white paper].

  10. Sia, C., Yeo, K. S., Do, M. A., & Ma, J. (2003). Metallization proximity studies for copper spiral inductors on silicon. IEEE Transactions on Semiconductor Manufacturing, 16(2), 220–227.

    Article  Google Scholar 

  11. Ellinger, F. (2008). Radio frequency integrated circuits and technologies (2nd ed.). New York: Springer.

    Book  Google Scholar 

  12. Doan, C., Emami, S., Niknejad, A., & Brodersen, R. (2005). Millimeter-wave CMOS design. IEEE Journal of solid-state circuits, 40(1), 144–155.

    Article  Google Scholar 

  13. Heydari, B., Bohsali, M., Adabi, E., & Niknejad, A. (2007). Low-Power mm-Wave Components up to 104 GHz in 90 nm CMOS. In IEEE international solid-state circuits conference, 2007. ISSCC 2007. Digest of technical papers, pp. 200–597.

  14. Varonen, M., Karkkainen, M., Kantanen, M., & Halonen, K. A. (2008). Millimeter-wave integrated circuits in 65-nm CMOS. IEEE Journal of Solid-State Circuits, 43(9), 1991–2002.

    Article  Google Scholar 

  15. Emami, S., Doan, C., Niknejad, A., & Brodersen, R. (2005). A 60-GHz down-converting CMOS single-gate mixer. In IEEE radio frequency integrated circuits symposium, pp. 163–166.

  16. Motlagh, B., Gunnarsson, S., Ferndahl, M., & Zirath, H. (2006). Fully integrated 60-GHz single-ended resistive mixer in 90-nm CMOS technology. IEEE Microwave and Wireless Components Letters, 16(1), 25–27.

    Article  Google Scholar 

Download references

Acknowledgment

The authors would like to thank the Swedish Research Council (VR) for funding this work. They would also like to thank Dr. Andrzej Ciminski, Ronny Peschel and Dr. Juergen Hartung from Agilent Technologies for their kind advices and technical supports.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sha Tao.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Tao, S., Rodriguez, S., Rusu, A. et al. A 60 GHz receiver front-end in 65 nm CMOS. Analog Integr Circ Sig Process 67, 61–71 (2011). https://doi.org/10.1007/s10470-010-9510-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-010-9510-8

Keywords

Navigation