Skip to main content
Log in

FPGA friendly NoC simulation acceleration framework employing the hard blocks

  • Regular Paper
  • Published:
Computing Aims and scope Submit manuscript

Abstract

A major role is played by Modeling and Simulation platforms in development of a new Network-on-Chip (NoC) architecture. The cycle accurate software simulators tend to become slow when simulating thousands of cores on a single chip. FPGAs have become the vehicle for simulation acceleration due to the properties of parallelism. Most of the state-of-the-art FPGA based NoC simulators utilize soft logic only for modeling the NoCs, leaving out the hard blocks to be unutilized. In this work, the FIFO Buffer and Crossbar switch functionalities of the NoC router have been embedded in the Block RAM (BRAMs) and the DSP48E1 slices with large multiplexer respectively. Employing the proposed techniques of mapping the NoC router components on the FPGA hard blocks, an NoC simulation acceleration framework based on the FPGA is presented in this work. A huge reduction in the use of the Configurable Logic Blocks (CLBs) has been observed when the FIFO buffer and Crossbar components of the NoC topology’s router micro-architecture are embedded in FPGA hard blocks. Our experimental results show that the topologies implemented employing the proposed FPGA friendly mapping of the NoC router components on the hard blocks consume 43.47% fewer LUTs and 41.66% fewer FFs than the topologies with CLB implementation. To optimize the latency of the NoC under consideration, a control unit called “buf_empty_checker” has been employed. A reduction in average latency has been observed compared to the CLB based topology implementation employing the proposed mapping. The proposed work consumes 10.88% fewer LUTs than the CONNECT NoC generation tool. Compared to DART, a reduction of 73.38% and 66.55% in LUTs and FFs has been observed with respect to the proposed work. The average packet latency of the proposed NoC architecture is 24.8% and 19.1% lesser than the CONNECT and DART architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

References

  1. Dally WJ, Towles B (2001) Route packets, not wires: on-chip interconnection networks. In: Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232), pp 684–689

  2. Binkert N, Beckmann B, Black G, Reinhardt SK, Saidi A, Basu A, Hestness J, Hower DR, Krishna T, Sardashti S, Sen R, Sewell K, Shoaib M, Vaish N, Hill MD, Wood DA (2011) The Gem5 Simulator. SIGARCH Comput Archit News 39(2):1–7. https://doi.org/10.1145/2024716.2024718

    Article  Google Scholar 

  3. Agarwal N, Krishna T, Peh LS, Jha N (2009) GARNET: A detailed on-chip network model inside a full-system simulator. ISPASS 2009:33–42

    Google Scholar 

  4. Jiang N, Becker DU, Michelogiannakis G, Balfour J, Towles B, Shaw DE, Kim J, Dally WJ (2013) A detailed and flexible cycle-accurate Network-on-Chip simulator. In: 2013 IEEE international symposium on performance analysis of systems and software (ISPASS), pp 86–96

  5. Kahng AB, Li B, Ls Peh (2010) ORION 2.0: a power-area simulator for interconnection networks. TVLSI XX(1):1–5

    Google Scholar 

  6. Puente V, Gregorio JA, Beivide R (2002) SICOSYS: an integrated framework for studying interconnection network performance in multiprocessor systems. In: Proceedings 10th Euromicro Workshop on Parallel, Distributed and Network-based Processing, pp 15–22, https://doi.org/10.1109/EMPDP.2002.994207

  7. Abad P, Prieto P, Menezo LG, Colaso A, Puente V, Gregorio J (2012) Topaz: An open-source interconnection network simulator for chip multiprocessors and supercomputers. In: 2012 IEEE/ACM sixth international symposium on networks-on-Chip, pp 99–106

  8. Ababei C, Mastronarde N (2014) Benefits and costs of prediction based dvfs for nocs at router level. In: 2014 27th IEEE International System-on-Chip Conference (SOCC), pp 255–260

  9. Ben-Itzhak Y, Zahavi E, Cidon I, Kolodny A (2012) Hnocs: Modular open-source simulator for heterogeneous nocs. In: SAMOS 2012, International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation., IEEE

  10. Catania V, Mineo A, Monteleone S, Palesi M, Patti D (2016) Cycle-accurate network on chip simulation with Noxim. ACM Trans Model Comput Simul 27(1):1–25. https://doi.org/10.1145/2953878

    Article  MathSciNet  Google Scholar 

  11. Wang J, Huang Y, Ebrahimi M, Huang L, Li Q, Jantsch A, Li G (2016) VisualNoC: A Visualization and Evaluation Environment for Simulation and Mapping, in: Proceedings of the Third ACM International Workshop on Many-Core Embedded Systems, MES 16, Association for Computing Machinery, New York, NY, USA, p 1825. https://doi.org/10.1145/2934495. 2949544

  12. Angepat H, Chiou D, Chung ES, Hoe JC (2014) FPGA-accelerated simulation of computer systems. Morgan and Claypool Publishers, California

    Book  Google Scholar 

  13. Sanchez D, Kozyrakis C (2013) ZSim: fast and accurate microarchitectural simulation of thousand-core systems. SIGARCH Comput Archit News 41(3):475–486. https://doi.org/10.1145/2508148.2485963

    Article  Google Scholar 

  14. Ren P, Lis M, Cho MH, Shim KS, Fletcher CW, Khan O, Zheng N, Devadas S (2012) HORNET: a cycle-level multicore simulator. IEEE Trans Comput Aided Des Integr Circuits Syst 31(6):890–903. https://doi.org/10.1109/TCAD.2012.2184760

    Article  Google Scholar 

  15. Prasad PBM, Parane K, Talawar B (2020) An efficient FPGA-based network-on-chip simulation framework utilizing the hard blocks. Circuits Syst Signal Process. https://doi.org/10.1007/s00034-020-01411-z

    Article  Google Scholar 

  16. Xilinx Inc, URL https://www.xilinx.com/support/documentation/user_guides/ug479_7Series_DSP48E1.pdf, 7 Series DSP48E1 Slice User Guide (2018)

  17. Lotlikar S, Pai V, Gratz PV (2011) AcENoCs: A Configurable HW/SW Platform for FPGA Accelerated NoC Emulation. VLSID 2011:147–152

    Google Scholar 

  18. Wang D, Jerger NE, Steffan JG (2011) DART: A programmable architecture for NoC simulation on FPGAs. In: NOCS 2011, ACM, pp 145–152

  19. Chu TV, Sato S, Kise K (2015) Ultra-fast NoC emulation on a single FPGA. FPL 2015:1–8

    Google Scholar 

  20. Papamichael MK, Hoe JC (2015) The CONNECT Network-on-Chip Generator. Computer 48(12):72–79

    Article  Google Scholar 

  21. Kapre N, Gray J (2015) Hoplite: Building austere overlay NoCs for FPGAs. FPL 2015:1–8

    Google Scholar 

  22. Kamali HM, Hessabi S (2016) Adapnoc: A fast and flexible fpga-based noc simulator. In: 2016 26th international conference on field programmable logic and applications (FPL), pp 1–8,

  23. Prabhu Prasad BM, Khyamling Parane, Basavaraj Talwar (2018) YaNoC: yet another network-on-chip simulation acceleration engine using FPGAs. VLSID 2018:67–72

    Google Scholar 

  24. Abba S, Lee JA (2014) A parametric-based performance evaluation and design trade-offs for interconnect architectures using fpgas for networks-on-chip. Microprocess Microsyst 38(5):375–398

    Article  Google Scholar 

  25. Wolkotte PT, Holzenspies PKF, Smit GJM (2007) Fast, Accurate and Detailed NoC Simulations. In: first international symposium on networks-on-chip (NOCS’07), pp 323–332

  26. Heck G, Guazzelli R, Moraes F, Calazans N, Soares R (2012) HardNoC: A platform to validate networks on chip through FPGA prototyping. In: 2012 VIII southern conference on programmable logic, pp 1–6

  27. Genko N, Atienza D, Micheli GD, Mendias JM, Hermida R, Catthoor F (2005) A complete network-on-chip emulation framework. In: Design, Automation and Test in Europe, Vol 1, pp 246–251

  28. Chethan KHB, Kapre N (2016) Hoplite-DSP: Harnessing the Xilinx DSP48 multiplexers to efficiently support NoCs on FPGAs. FPL 2016:1–10

    Google Scholar 

  29. Prabhu Prasad B M, Khyamling Parane, Basavaraj Talwar (2019) High-performance NoCs employing the DSP48E1 blocks of the Xilinx FPGAs. In: 20th international symposium on quality electronic design (ISQED), pp 163–169

  30. Glass CJ, Ni LM (1992) The turn model for adaptive routing. ISCA 1992:278–287

    Google Scholar 

  31. Xilinx Inc, “7 Series FPGAs Memory Resources”, https://www.xilinx.com/support/documentation/user_guides/ug473_7Series_Memory_Resources.pdf (2019)

Download references

Acknowledgements

This work was supported by the Ministry of Electronics and Information Technology, Government of India. Prabhu Prasad B M and Khyamling Parane contributed equally to this research.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to B. M. Prabhu Prasad.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Prasad, B.M.P., Parane, K. & Talawar, B. FPGA friendly NoC simulation acceleration framework employing the hard blocks. Computing 103, 1791–1813 (2021). https://doi.org/10.1007/s00607-020-00901-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00607-020-00901-x

Keywords

Mathematics Subject Classification

Navigation