Skip to main content
Log in

An insight into the design of a graded channel gate-all-around (GAA) MOSFET for biosensing applications

  • Technical Paper
  • Published:
Microsystem Technologies Aims and scope Submit manuscript

Abstract

This paper presents a novel biosensor, the graded channel gate-all-around (GC-GAA) silicon nanowire-FET biosensor, which utilizes dielectric modulation through a cavity carved from the drain side for label-free biomolecule detection. The biomolecules that become fixed in the cavity region alter the device's electrical properties, such as impedance, resistance, capacitance, and charge field effect, resulting in changes in the biosensing device's threshold voltage, drain current, and sub-threshold slope. The cavity length varies between 10 and 14 nm, and different dielectric constants are used. The study investigates the effect of various biomolecules on the device's performance, including drain current (for dielectric constant = 1 and3.57), sub-threshold slope, threshold voltage, switching ratio, energy band, transconductance, and electric field. The obtained drain currents for device-1 are 2.96 µA and 2.75 µA with threshold voltage of 0.78 V and 0.76 V for S_D/D_S graded channel respectively for copper as gate metal. Similarly the drain currents for device-2 are 0.97 µA and 1.13 µA with threshold voltage of 0.73 V and 0.68 V for S_D/D_S graded channel respectively. However, for device-3 the drain currents are 0.91 µA and 1.08 µA with threshold voltage of 0.73 V and 0.67 V. The results indicate that higher dielectric constants result in higher drain current levels and increased device sensitivity. The GC-GAA-NWFET biosensor demonstrated high sensitivity (~ 138 mV) and low leakage current, making it a promising candidate for biosensing applications.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

Data availability

All the simulation data are already included in the manuscript.

References

  • Bhol K, Jena B, Nanda U (2022) Journey of MOSFET from planar to gate all around: a review. Recent Pat Nanotechnol 16:326

    Article  Google Scholar 

  • Bhol K, Jena B, Nanda U (2022) Silicon nanowire GAA-MOSFET: a workhorse in nanotechnology for future semiconductor devices. Silicon 14(7):3163–3171

    Article  Google Scholar 

  • Chakrabarti H, Maity R, Baishya S, Maity NP (2021) An accurate model for threshold voltage analysis of dual material double gate metal oxide semiconductor field effect transistor. SILICON 13:1851

    Article  Google Scholar 

  • Das R, Chanda M, Sarkar CK (2018) Analytical modeling of charge plasma-based optimized nanogap embedded surrounding gate MOSFET for label-free biosensing. IEEE Trans Electron Devices 65(12):5487–5493

    Article  Google Scholar 

  • Dutta PK, Manna B, Sarkar SK (2015) Analytical modeling of linearly graded alloy material gate recessed ultra thin body source/drain SON MOSFET. Superlattice Microstruct 77:64

    Article  Google Scholar 

  • Goel E, Kumar S, Singh K, Singh B, Kumar M, Jit S (2016) 2-D analytical modeling of threshold voltage for graded-channel dual-material double-gate MOSFETs. IEEE Trans Electron Dev 63:966

    Article  Google Scholar 

  • Goel A, Rewari S, Verma S, Gupta RS (2018) Dielectric modulated triple metal gate all around MOSFET (TMGAA) for DNA bio molecule detection. Proc. Int. Conf. (2018) IEEE electron device kolkata conf. EDKCON 2018, 1, 337–340. https://doi.org/10.1109/EDKCON.2018.8770406.

  • Guide SDU (2022) Synopsys Inc. Mountain View, USA

    Google Scholar 

  • Im H, Huang X-J, Gu B, Choi Y-K (2007) A dielectric-modulated field-effect transistor for biosensing. Nat Nanotechnol 2:430

    Article  Google Scholar 

  • Jena B, Pradhan KP, Sahu PK, Dash S, Mishra GP, Mohapatra SK (2015) Investigation on cylindrical gate all around (GAA) to nanowire MOSFET for circuit application. Facta Universitatis-Series: Electron Energetics 28(4):637–643

    Google Scholar 

  • Jena B, Pradhan KP, Dash S, Mishra GP, Sahu PK, Mohapatra SK (2015) Performance analysis of undoped cylindrical gate all around (GAA) MOSFET at subthreshold regime. Adv Nat Sci: Nanosci Nanotechnol 6(3):035010

    Google Scholar 

  • Jena B, Dash S, Mishra GP (2018) Improved switching speed of a CMOS inverter using work-function modulation engineering. IEEE Trans Electron Devices 65:2422

    Article  Google Scholar 

  • Jena B, Dash S, Mishra GP (2019) Inner-gate-engineered GAA MOSFET to enhance the electrostatic integrity. Nano: Brief Rep Rev 14:1950128

    Article  Google Scholar 

  • Kumar M, Haldar S, Gupta M, Gupta RS (2016) DS Schottky barrier cylindrical GAA MOSFET: nanosensor for biochips. Nanomater Energy 5(1):10–19

    Article  Google Scholar 

  • Lee CS, Kim SK, Kim M (2009) Ion-sensitive field-effect transistor for biological sensing. Sensors 9:7111

    Article  Google Scholar 

  • Lee KW, Choi SJ, Ahn JH, Moon DI, Park TJ, Lee SY, Choi YK (2010) An underlap field-effect transistor for electrical detection of influenza. Appl Phys Lett 96:033703

    Article  Google Scholar 

  • Lemtur A, Sharma D, Suman P, Patel J, Yadav DS, Sharma N (2018) Performance analysis of gate all around GaAsP/AlGaSb CP-TFET. Superlattices Microstruct 117:364–372

    Article  Google Scholar 

  • Massey R, Bebe S, Prakash R (2020) Aptamer-enhanced organic electrolyte-gated FET biosensor for high-specificity detection of cortisol. IEEE Sens Lett 4:1

    Article  Google Scholar 

  • Narang R, Saxena M, Gupta M (2017) Modeling and simulation investigation of sensitivity of symmetric split gate junctionless FET for biosensing application. IEEE Sensors 17:4853

    Article  Google Scholar 

  • Pradhan KP, Mohapatra SK, Sahu PK, Behera DK (2014) Impact of high-k gate dielectric on analog and RF performance of nanoscale DG-MOSFET. Microelectronics J 45:144

    Article  Google Scholar 

  • Samia S, Bouaza D (2013) High dielectric permittivity impact on SOI double gate MOSFET. Microelectron Eng 112:213

    Article  Google Scholar 

  • Singh KN, Dutta PK (2020) Analytical modeling of a high-K underlap dielectric-and charge-modulated silicon-on nothing FET-based biosensor. J Comput Electron 3:1126–1135

    Article  Google Scholar 

  • Singh NK, Raman A, Singh S, Kumar N (2017) A novel high mobility In1-xGaxAs cylindrical-gate-nanowire FET for gas sens ing application with enhanced sensitivity. Superlattices Microstruct (February 2019) 111:518–528. https://doi.org/10.1016/j.spmi.2017.07.001

    Article  Google Scholar 

  • Venkatesh CN, Mishra GP, Jena B (2022) Design of core gate silicon nanotube RADFET with improved sensitivity. ECS J Solid-State Sci Technol 11:081002

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Biswajit Jena.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kannan, K., Asish, A. & Jena, B. An insight into the design of a graded channel gate-all-around (GAA) MOSFET for biosensing applications. Microsyst Technol (2024). https://doi.org/10.1007/s00542-024-05642-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s00542-024-05642-x

Navigation