Skip to main content
Log in

Predictions optimal routing algorithm based on artificial intelligence technique for 3D NoC systems

  • Technical Paper
  • Published:
Microsystem Technologies Aims and scope Submit manuscript

Abstract

Recently, the demand for features such as shrinkable sizes, and the concurrent need to pack increasing numbers of transistors into a single chip, have led to the utilization of hundreds of CMPs as processer elements for significant data processing, such as cloud computing systems with high performance and minimum latency-power consumption. A 3D NoC is introduced as a promising solution for the next generations of CMPs. However, there are different design issues, as selecting an efficient routing algorithm is a process which still faces some challenges. In this paper, to handle the deficiencies of the selection of the optimal routing algorithms, artificial intelligence technology is used to predict the efficient routing algorithm with higher throughput and lower power consumption. Experimental results based on the 3D NOXIM simulator are presented, and illustrate that the performance of the proposed system can predict, with high accuracy, optimal routing algorithms by switching between existing 3D routing algorithms, depending on the traffic load rate for the NoC system. The NN-prediction approach is tested under PARSEC workloads to validate the effectiveness of 3D NoC throughput, energy consumption and hotspot distribution metrics.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10

Similar content being viewed by others

References

  • Ababei C, Mastronarde N (2014) Benefits and costs of prediction based DVFS for NOCs at router level. In: 27th IEEE International System-on-Chip Conference (SOCC), pp 255–260, 2014

  • AL-Obaidy F, Asad A, Mohammadi F (2019) Reconfigurable hybrid cache hierarchy in 3D chip-multi processors based on a convex optimization method. In: 2019 IEEE canadian conference of electrical and computer engineering (CCECE), Edmonton, AB, Canada, pp 1–6. https://doi.org/10.1109/CCECE.2019.8861876

  • Al-Obaidy F, Asad A, Mohammadi F (2020) Improving power-performance via hybrid cache for chip many cores based on neural network prediction technique. J Microsys Technol. https://doi.org/10.1007/s00542-020-05048-5

  • Chen KC, Lin SY, Hung HS, Wu AY (2012) Traffic-balanced topology-aware multiple routing adjustment for throttled 3d NOC systems. In: IEEE Workshop on Signal Processing Systems, pp 120–124, 2012

  • Choi W, Duraisamy K, Kim RG, Doppa JR, Pande PP, Marculescu D, Marculescu R (2018) On-chip communication network for efficient training of deep convolutional networks on heterogeneous manycore systems. IEEE Trans Comput 67(5):672–686

    Article  MathSciNet  Google Scholar 

  • De Paulo V, Ababei C (2010) 3D network-on-chip architectures using homogeneous meshes and heterogeneous floorplans. Int J Reconfig Comput 2010:1–12. https://doi.org/10.1155/2010/603059

    Article  Google Scholar 

  • Gem5, Gem5.org. https://gem5.org/Main_Page. Accessed 19 May 2020.

  • Joardar BK, Kim RG, Doppa JR, Pande PP, Marculescu D, Marculescu R (2018) Learning-based application-agnostic 3D NoC design for heterogeneous manycore systems. IEEE Trans Comput 68(6):852–866

    Article  MathSciNet  Google Scholar 

  • Kumar A, Talawar, B (2018) Machine learning based framework to predict performance evaluation of on-chip networks. In: IEEE 11th International Conference on Contemporary Computing (IC3), pp 1–6, 2018.

  • Lee SC, Han TH (2020) Q-function-based traffic-and thermal-aware adaptive routing for 3D network-on-chip. Electronics 9(3):392

    Article  Google Scholar 

  • Mnejja S, Aydi Y, Abid M, Monteleone S, Catania V, Palesi M, Patti D (2020) Delta multi-stage interconnection networks for scalable wireless on-chip communication. J Electron 9(6):913

    Article  Google Scholar 

  • Narayana SA (2016) An artificial neural networks-based temperature prediction framework for network-on-chip based multicore platform. arXiv preprint http://arxiv.org/abs/1612.04197

  • Norollah A, Derafshi D, Beitollahi H, Patooghy A (2018) PAT-NOXIM: a precise power & thermal cycle-accurate NoC SImulator. In: 31st IEEE International System-on-Chip Conference (SOCC), pp 163–168, 2018.

  • Ofori-Attah E, Agyeman MO (2017) A survey of recent contributions on low power NoC architectures. In: IEEE 2017 Computing Conference, pp 1086–1090, July 2017

  • Ofori-Attah E, Agyeman MO (2018) A survey of power-aware network-on-chip design techniques. In: 30th International Multi-Conference on Computing in Global Information Technology (IARIA), 2018

  • Okeke S (2017) Thermal-aware and uniform priority with scaled routing for high-performance network-on-chip. Dep. Electrical and Computer Engineering, Doctoral dissertation, University of Victoria, Nigeria, 2017

  • Ouyang J, Xie J, Poremba M, Xie Y (2010) “Evaluation of using inductive/capacitive-coupling vertical interconnects in 3D network-on-chip. In: 2010 IEEE/ACM International Conference on computer-aided design (ICCAD), pp.477–482, November 2010.

  • Parikh R, Das R, Bertacco V (2014) Power-aware NOCs through routing and topology reconfiguration. In: Proceedings of the 51st Annual Design Automation Conference, pp 1–6, 2014

  • Phan HP, Tran XT, Yoneda T (2017) Power consumption estimation using VNOC2. 0 simulator for a fuzzy-logic based low power Network-on-Chip. In: 2017 IEEE International Conference on IC Design and Technology (ICICDT), pp 1–4, 2017

  • Phan HP, Tran XT, Yoneda T (2017) Power consumption estimation using VNOC2.0 simulator for a fuzzy-logic based low power Network-on-Chip. In: IEEE International Conference on IC Design and Technology (ICICDT), pp 1–4, 2017.

  • Rahimi, Salehi ME, Mohammadi S, Fakhraie SM (2010) Dynamic voltage scaling for fully asynchronous NOCs using FIFO threshold levels. In: 15th CSI International Symposium on computer architecture and digital systems, pp 43–48, 2010

  • Reza MF, Ampadu P (2019) Energy-efficient and high-performance NoC architecture and mapping solution for deep neural networks. In: Proceedings of the 13th IEEE/ACM International Symposium on networks-on-chip, pp 1–8, 2019.

  • Sweety A, Karthikeyan A, Kirubakaran SJ (2015) Analysis and performance comparison of 3-D NoC routing algorithms. Int Journal Appl Eng Res 10(5):13415–13429

    Google Scholar 

  • Theocharides T, Soteriou V, Kakoulli E (2012) Intelligent hotspot prediction for network-on-chip-based multicore systems. IEEE Trans Comput Aided Des Integr Circ Syst 31(3):418–431. https://doi.org/10.1109/TCAD.2011.2170568

    Article  Google Scholar 

  • Trobec R, Vasiljević R, Tomašević M, Milutinović V, Beivide R, Valero M (2016) Interconnection networks in PETASCALE computer systems: a survey. ACM Comput Surveys (CSUR) 49(3):1–24

    Article  Google Scholar 

  • Tyagi S, Maheshwari P, Agarwal A, Avasthi V (2017) Exploring 3d network-on-chip architectures and challenges. In: IEEE 2017 International Conference on Computer and Applications (ICCA), pp 97–101, 2017

  • Valencia P, Muller E, Wang N (2017) Zig Zag: an efficient deterministic Network-on-chip routing algorithm design. In: 8th IEEE Annual Information Technology, Electronics and Mobile Communication Conference (IEMCON) (pp 1–5), 2017

  • Wang N, Valencia P (2016) traffic allocation: an efficient adaptive network-on-chip routing algorithm design. In: IEEE 2nd International Conference on Computer and Communications (ICCC2016), Chengdu, China, October 2016

  • Xu Z, Lu JQ (2012) Through-silicon-via fabrication technologies, passives extraction, and electrical modeling for 3-D integration/packaging. IEEE Trans Semicond Manuf 26(1):23–34

    Article  Google Scholar 

  • Zhang W, Hou L, Wang J, Geng S, Wu W (2009) Comparison research between xy and odd-even routing algorithm of a 2-dimension 3x3 mesh topology network-on-chip. In: 2009 WRI Global Congress on Intelligent Systems, Vol. 3, pp 329–333, 2009

  • Zou T, Zhang C, Peng X, Peng Y (2018) An inter-layer-distance based routing algorithm for 3D network-on-chip. In: CCF National Conference on computer engineering and technology, pp 26–37, Springer, Singapore, 2018.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Furat Al-Obaidy.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Al-Obaidy, F., Mohammadi, F.A. Predictions optimal routing algorithm based on artificial intelligence technique for 3D NoC systems. Microsyst Technol 27, 3313–3323 (2021). https://doi.org/10.1007/s00542-020-05084-1

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00542-020-05084-1

Navigation