Skip to main content
Log in

Impact of polyimide liner on high-aspect-ratio through-silicon-vias (TSVs): electrical characteristics and copper protrusion

  • Technical Paper
  • Published:
Microsystem Technologies Aims and scope Submit manuscript

Abstract

Through silicon vias (TSVs) are key components in three dimensional integrated circuits. The performance of TSVs insulation layer strongly affects electrical characteristics and thermal mechanical reliability of TSVs. This paper reports impact of polyimide liner as TSVs sidewall insulation on electrical characteristics and copper protrusion of high-aspect-ratio TSVs. The strategy of polyimide liner based via-last 3D integration are described in detail for future application. Electrical characteristics including leakage current and capacitance–voltage characteristics indicate excellent insulation ability (~10−12 A at 20 V) of polyimide liner and low parasitic capacitance density (~10−9 F/cm2) of the TSVs. The impact of polyimide liner on copper protrusion (~668 nm at 350 °C) is investigated under various annealing temperatures. The results show protrusion height increases with annealing temperature.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9

Similar content being viewed by others

References

  • Archard D, Giles K, Price A, Burgess S, Buchanan K (2010) Low temperature PECVD of dielectric films for TSV applications. In: IEEE 60th electronic components and technology conference (ECTC), pp 764–768. doi:10.1109/ECTC.2010.5490746

  • Chan YS, Li HY, Zhang X (2013) Thermo-mechanical design rules for the fabrication of TSV interposers. IEEE Trans Compon Pack Manuf Technol 3:633–640. doi:10.1109/tcpmt.2012.2223758

    Article  Google Scholar 

  • Che FX, Putra WN, Heryanto A, Trigg A, Zhang X, Gan CL (2013) Study on Cu protrusion of through-silicon via. IEEE Trans Compon Pack Manuf Technol 3(5):732–739. doi:10.1109/TCPMT.2013.2252955

    Article  Google Scholar 

  • Chen Q, Huang C, Wang Z (2012) Benzocyclobutene polymer filling of high aspect-ratio annular trenches for fabrication of through-silicon-vias (TSVs). Microelectron Reliab 52(11):2670–2676. doi:10.1016/j.microrel.2012.06.001

    Article  Google Scholar 

  • Chen Q, Huang C, Tan Z, Wang Z (2013) Low capacitance through-silicon-vias with uniform benzocyclobutene insulation layers. IEEE Trans Compon Packag Manuf Technol 3:724–731. doi:10.1109/TCPMT.2013.2241179

    Article  Google Scholar 

  • Chen QW, Yan YY, Ding YT, Wang SW, Wang WJ (2015) Fabrication and electrical characteristics of a novel interposer with polymer liner and silicon pillars with ultra-low-resistivity as through-silicon-vias (TSVs) for 2.5D/3D applications. Microsyst Technol 21(10):2207–2214. doi:10.1007/s00542-014-2324-3

    Article  Google Scholar 

  • De Messemaeker J, Varela Pedreira O, Moussa A, Nabiollahi N, Vanstreels K, Van Huylenbroeck S, Philipsen H, Verdonck P, Vandevelde B, De Wolf I, Beyne E, Croes K (2015) Impact of oxide liner properties on TSV Cu pumping and TSV stress. In: IRPS’15, pp 4C.5.1–4C.5.10

  • De Wolf I, Croes K, Varela Pedreira O et al (2011) Cu pumping in TSVs: effect of pre-CMP thermal budget. Microelectron Reliab 51(9):1856–1859. doi:10.1016/j.microrel.2011.06.003

    Article  Google Scholar 

  • Duval FFC, Okoro C, Civale Y, Soussan P, Beyne E (2011) Polymer filling of silicon trenches for 3-d through silicon vias applications. IEEE Trans Compon Packag Manuf Technol 6:825–832. doi:10.1109/TCPMT.2011.2114885

    Article  Google Scholar 

  • Heryanto A, Putra WN, Trigg A et al (2012) Effect of copper TSV annealing on via protrusion for TSV wafer fabrication. J Electron Mater 41(9):2533–2542. doi:10.1007/s11664-012-2117-3

    Article  Google Scholar 

  • Huang C, Chen Q, Wang Z (2013) Polymer liner formation in high aspect ratio through-silicon-vias for 3-D integration. IEEE Trans Compon Pack Manuf Technol 3(7):1107–1113. doi:10.1109/TCPMT.2013.2259541

    Article  Google Scholar 

  • Huylenbroeck SV, Stucchi M, Li Y et al (2016) Small pitch, high aspect ratio via-last TSV module. In: IEEE 66th electronic components and technology conference, pp 43–49. doi:10.1109/ECTC.2016.155

  • Jing XM, He HW, Ji L et al (2014) Effect of thermal annealing on TSV Cu protrusion and local stress. In: IEEE 64th electronic components and technology conference, pp 1116–1121. doi:10.1109/ECTC.2014.6897429

  • Katti G, Stucchi M, Olmen JV, Meyer KD, Dehaene W (2010a) Through-silicon-via capacitance reduction technique to benefit 3-D IC performance. IEEE Electron Device Lett 31:549–551. doi:10.1109/LED.2010.2046712

    Article  Google Scholar 

  • Katti G, Mercha A, Stucchi M et al (2010b) Temperature dependent electrical characteristics of through-Si-via (TSV) interconnections. In: IEEE international interconnect technology conference, pp 1–3. doi:10.1109/IITC.2010.5510311

  • Katti G, Stucchi M, De Meyer K, Dehaene W (2010c) Electrical modeling and characterization of through silicon via for three-dimensional ICs. IEEE Trans Electron Devices 57(1):256–262. doi:10.1109/TED.2009.2034508

    Article  Google Scholar 

  • Katti G, Stucchi M, Velenis D et al (2011) Technology assessment of through-silicon via by using C–V and I–V measurements. IEEE Electron Device Lett 32(7):946–948. doi:10.1109/LED.2011.2141650

    Article  Google Scholar 

  • Kim B, Sharbono C, Ritzdorf T, Schmauch D (2006) Factors affecting copper filling process within high aspect ratio deep vias for 3D chip stacking. In: IEEE 56th electronic components and technology conference. pp 6. doi:10.1109/ECTC.2006.1645755

  • Knickerbocker JU, Andry PS, Dang B et al (2009) Three-dimensional silicon integration. IBM J Res Dev 52:553–569. doi:10.1147/JRD.2008.538856

    Article  Google Scholar 

  • Koyanagi M, Fukushima T, Tanaka T (2009) High-density through silicon vias for 3-D LSIs. Proc IEEE 97:49–59. doi:10.1109/JPROC.2008.2007463

    Article  Google Scholar 

  • Lu JQ (2009) 3-D hyperintegration and packaging technologies for micro-nano systems. Proc IEEE 97:18–30. doi:10.1109/JPROC.2008.2007458

    Article  Google Scholar 

  • Majeed B, Pham NP, Tezcan DS, Beyne E (2008) Parylene N as a dielectric material for through silicon vias. In: IEEE 58th electronic components and technology conference (ECTC), pp 1556–1561. doi:10.1109/ECTC.2008.4550183

  • Mariappan M, Fukushima T, Bea JC, Hashimoto H, Koyanagi M (2016) Capacitance characteristics of low-k low-cost CVD grown polyimide liner for high-density Cu through-Si-via in three-dimensional LSI. Jpn J Appl Phys 55(4S):04EC12

    Article  Google Scholar 

  • Motoyoshi M (2009) Through-silicon via (TSV). Proc IEEE 97:43–48. doi:10.1109/JPROC.2008.2007462

    Article  Google Scholar 

  • Ryu SK, Lu KH, Zhang X, Im JH, Ho PS, Huang R (2011) Impact of near-surface thermal stresses on interfacial reliability of through-silicon vias for 3-D interconnects. IEEE Trans Device Mater Reliab 11:35–43. doi:10.1109/TDMR.2010.2068572

    Article  Google Scholar 

  • Sundaram V, Chen Q, Suzuki Y et al (2012) Low-cost and low-loss 3D silicon interposer for high bandwidth logic-to-memory interconnections without TSV in the logic IC. In: IEEE 62nd electronic components and technology conference (ECTC), pp 292–297. doi:10.1109/ECTC.2012.6248844

  • Sze SM, Ng KK (2006) Physics of semiconductor devices. Wiley, New York

    Book  Google Scholar 

  • Tezcan DS, Duval F, Philipsen H, Luhn O, Soussan P, Swinnen B (2009) Scalable through silicon via with polymer deep trench isolation for 3D wafer level packaging. In: IEEE 59th electronic components and technology conference, pp 1159–1164. doi:10.1109/ECTC.2009.5074158

  • Thadesar PA, Bakir MS (2013) Novel photo-defined polymer-enhanced through-silicon vias for silicon interposers. IEEE Trans Compon Pack Manuf Technol 3(7):1130–1137. doi:10.1109/TCPMT.2013.2261122

    Article  Google Scholar 

  • Thomas D, Buchanan K, Griffiths H et al (2012) Plasma etch and low temperature PECVD processes for via reveal applications. In: 62nd IEEE electronic components and technology conference (ECTC), pp 1662–1667. doi:10.1109/ECTC.2012.6249061

  • Tung BT, Cheng X, Watanabe N et al (2014) Fabrication and electrical characterization of Parylene-HT liner bottom-up copper filled through silicon via (TSV). In: IEEE CPMT symposium Japan, pp 154–157. doi:10.1109/ICSJ.2014.7009633

  • Van der Plas G, Limaye P, Loi I et al (2011) Design issues and considerations for low-cost 3-D TSV IC technology. IEEE J Solid-State Circuits 46:293–307. doi:10.1109/JSSC.2010.2074070s

    Article  Google Scholar 

  • Yan Y, Ding Y, Fukushima T, Lee KW, Koyanagi M (2016a) Study of vacuum-assisted spin coating of polymer liner for high-aspect-ratio through-silicon-via applications. IEEE Trans Compon Pack Manuf Technol 6(4):501–509. doi:10.1109/TCPMT.2016.2514365

    Article  Google Scholar 

  • Yan Y, Xiong M, Liu B, Ding Y, Chen Z (2016b) Low capacitance and highly reliable blind through-silicon-vias (TSVs) with vacuum-assisted spin coating of polyimide dielectric liners. Sci China Technol Sci. doi:10.1007/s11431-016-0266-6

    Google Scholar 

  • Zhang L, Li HY, Gao S, Tan CS (2011) Achieving stable through-silicon via (TSV) capacitance with oxide fixed charge. IEEE Electron Device Lett 32:668–670. doi:10.1109/LED.2011.2111351

    Article  Google Scholar 

  • Zhang D, Hummler K, Smith L, Lu JQ (2013) Backside TSV protrusion induced by thermal shock and thermal cycling. In: IEEE 63rd electronic components and technology conference (ECTC), pp 1407–1413. doi:10.1109/ECTC.2013.6575757

Download references

Acknowledgements

This work was supported in part by the National Natural Science Foundation of China under Grant 61404008 and 61574016 and in part by 111 Project of China under Grant B14010.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Zhiming Chen.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Wang, S., Yan, Y., Cheng, Z. et al. Impact of polyimide liner on high-aspect-ratio through-silicon-vias (TSVs): electrical characteristics and copper protrusion. Microsyst Technol 23, 3757–3764 (2017). https://doi.org/10.1007/s00542-016-3243-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00542-016-3243-2

Keywords

Navigation