Skip to main content
Log in

On the strong coupling of polarization and charge trapping in HfO2/Si-based ferroelectric field-effect transistors: overview of device operation and reliability

  • S.I. : 50th Anniversary of Applied Physics
  • Published:
Applied Physics A Aims and scope Submit manuscript

Abstract

Ferroelectric field-effect transistors (FeFETs) have become an attractive technology for memory and emerging applications on a silicon electronic platform after the discovery of the ferroelectric phase in silicon-friendly hafnium oxide insulators. In this tutorial, we review one nonideal physical phenomenon that determines the device operation of practical FeFETs based on ferroelectric hafnium oxide (FE-HfO2) insulators and silicon channels: polarization-induced electron trapping. The ferroelectric polarization in FE-HfO2 induces an enormous amount of trapped electron density of an order of 1014 cm−2 near the interface between the FE-HfO2 and interfacial layer, which in turn screens the electric flux from polarization. We examine how electron trapping affects the device operation particularly the polarization switching mechanism, retention characteristics, endurance characteristics, and read-after-write delay. The asymmetric behavior of electron and hole trapping in FeFETs and its impact on the device operation are also discussed. We review several approaches based on different operations, device structure modification, and material engineering to mitigate anomalous electron trapping and improve the device characteristics of FeFETs.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17

Similar content being viewed by others

Data availability

The data presented in this study are available from the corresponding author upon reasonable request.

References

  1. J.F. Scott, C.A.P.D. Araujo, Ferroelectric memories. Science 246(4936), 1400–1405 (1989)

    ADS  Google Scholar 

  2. M. Dawber, K.M. Rabe, J.F. Scott, Physics of thin-film ferroelectric oxides. Appl. Phys. Lett. 77, 1083–1130 (2005)

    Google Scholar 

  3. H. Ishiwara, M. Okuyama, Y. Arimoto, Ferroelectric random access memories: fundamentals and applications (Springer, Berlin, 2004)

    Google Scholar 

  4. N. Nuraje, K. Su, Perovskite ferroelectric nanomaterials. Nanoscale 5, 8752–8780 (2013)

    ADS  Google Scholar 

  5. M.H. Park, Y.H. Lee, H.J. Kim, Y.J. Kim, T. Moon, K.D. Kim, J. Müller, A. Kersch, U. Schroeder, T. Mikolajick, C.S. Hwang, Ferroelectricity and antiferroelectricity of doped thin HfO2-based Films. Adv. Mater. 27(11), 1811–1831 (2015)

    Google Scholar 

  6. S. Fichtner, N. Wolff, F. Lofink, L. Kienle, B. Wagner, AlScN: A III-V semiconductor based ferroelectric featured. J. Appl. Phys. 125(11), 114103 (2019)

    ADS  Google Scholar 

  7. H. Kohlstedt, Y. Mustafa, A. Gerber, A. Petraru, M. Fitsilis, R. Meyer, U. Böttger, R. Waser, Current status and challenges of ferroelectric memory devices. Microelectron. Eng. 80, 296–304 (2005)

    Google Scholar 

  8. K. Sugibuchi, Y. Kurogi, N. Endo, Ferroelectric field-effect memory device using Bi4Ti3O12 film. J. Appl. Phys. 46(7), 2877–2881 (1975)

    ADS  Google Scholar 

  9. J.L. Moll, Y. Tarui, A new solid state memory resistor. IEEE Trans. Electron Devices 10(5), 338 (1963)

    ADS  Google Scholar 

  10. S.L. Miller, P.J. McWhorter, Physics of the ferroelectric nonvolatile memory field effect transistor. J. Appl. Phys. 72(12), 5999–6010 (1992)

    ADS  Google Scholar 

  11. S.-Y. Wu, A new ferroelectric memory device, metal-ferroelectric-semiconductor transistor. IEEE Trans. Electron Devices ED-21(8), 499–504 (1974)

    ADS  Google Scholar 

  12. E. Tokumitsu, G. Fujii, H. Ishiwara, Nonvolatile ferroelectric-gate field-effect transistors using SrBi2Ta2O9/Pt/SrTa2O6/SiON/Si structures. Appl. Phys. Lett. 75(4), 575–577 (1999)

    ADS  Google Scholar 

  13. S. Sakai, R. Ilangovan, Metal-ferroelectric-insulator-semiconductor memory FET with long retention and high endurance. IEEE Electron Devices Lett. 25(6), 369–371 (2004)

    ADS  Google Scholar 

  14. T.P.-C. Juan, C.-Y. Chang, J.Y.-M. Lee, A new metal-ferroelectric (PbZr0.53Ti0.47O3)-insulator (Dy2O3)-semiconductor (MFIS) FET for nonvolatile memory applications. IEEE Electron Devices Lett. 27(4), 217–220 (2006)

    ADS  Google Scholar 

  15. X. Zhang, M. Takahashi, K. Takeuchi, S. Sakai, 64 kbit ferroelectric-gate-transistor-integrated NAND flash memory with 7.5 V program and long data retention. Jpn. J. Appl. Phys. 51(4S), 04DD01 (2012)

    Google Scholar 

  16. J. Hoffman, X. Pan, J.W. Reiner, F.J. Walker, J.P. Han, C.H. Ahn, T.P. Ma, Ferroelectric field effect transistors for memory applications. Adv. Mater. 22(26–27), 2957–2961 (2010)

    Google Scholar 

  17. T.P. Ma, J.P. Han, Why is nonvolatile ferroelectric memory field-effect transistor still elusive? IEEE Electron Device Lett. 23(7), 386–388 (2002)

    ADS  Google Scholar 

  18. J. Müller, P. Polakowski, S. Mueller, T. Mikolajick, Ferroelectric hafnium oxide based materials and devices: assessment of current status and future prospects. ECS J. Solid State Sci. Technol. 4(5), N30–N35 (2015)

    Google Scholar 

  19. T.S. Böscke, J. Müller, D. Bräuhaus, U. Schröder, U. Böttger, Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99(10), 102903 (2011)

    ADS  Google Scholar 

  20. J. Müller, T.S. Böscke, U. Schröder, S. Mueller, D. Bräuhaus, U. Böttger, L. Frey, T. Mikolajick, Ferroelectricity in simple binary ZrO2 and HfO2. Nano Lett. 12(8), 4318–4323 (2012)

    ADS  Google Scholar 

  21. T.D. Huan, V. Sharma, G.A. Rossetti Jr., R. Ramprasad, Pathways towards ferroelectricity in hafnia. Phys. Rev. B 90, 064111 (2014)

    ADS  Google Scholar 

  22. X. Sang, E.D. Grimley, T. Schenk, U. Schroeder, J.M. Lebeau, On the structural origins of ferroelectricity in HfO2 thin films. Appl. Phys. Lett. 106(16), 162905 (2015)

    ADS  Google Scholar 

  23. M.H. Park, C.C. Chung, T. Schenk, C. Richter, K. Opsomer, C. Detavernier, C. Adelmann, J.L. Jones, T. Mikolajick, U. Schroeder, Effect of annealing ferroelectric HfO2 thin films: in situ, high temperature X-ray diffraction. Adv. Electron. Mater. 4(7), 1800091 (2018)

    Google Scholar 

  24. K. Toprasertpong, K. Tahara, Y. Hikosaka, K. Nakamura, H. Saito, M. Takenaka, S. Takagi, Low operating voltage, improved breakdown tolerance, and high endurance in Hf0.5Zr0.5O2 ferroelectric capacitors achieved by thickness scaling down to 4 nm for embedded ferroelectric memory. ACS Appl. Mater. Interfaces (2022). https://doi.org/10.1021/acsami.2c15369

    Article  Google Scholar 

  25. R. Materlik, C. Künneth, A. Kersch, The origin of ferroelectricity in Hf1−xZrxO2: a computational investigation and a surface energy model. J. Appl. Phys. 117(13), 134109 (2015)

    ADS  Google Scholar 

  26. P. Polakowski, J. Müller, Ferroelectricity in undoped hafnium oxide. Appl. Phys. Lett. 106(23), 232905 (2015)

    ADS  Google Scholar 

  27. T. Nishimura, L. Xu, S. Shibayama, T. Yajima, S. Migita, A. Toriumi, Ferroelectricity of nondoped thin HfO2 films in TiN/HfO2/TiN stacks. Jpn. J. Appl. Phys. 55(82), 08PB01 (2016)

    Google Scholar 

  28. J. Müller, U. Schröder, T.S. Böscke, I. Müller, U. Böttger, L. Wilde, J. Sundqvist, M. Lemberger, P. Kücher, T. Mikolajick, L. Frey, Ferroelectricity in yttrium-doped hafnium oxide. J. Appl. Phys. 110(11), 114113 (2011)

    ADS  Google Scholar 

  29. U. Schroeder, E. Yurchuk, J. Müller, D. Martin, T. Schenk, P. Polakowski, C. Adelmann, M.I. Popovici, S.V. Kalinin, T. Mikolajick, Impact of different dopants on the switching properties of ferroelectric hafnium oxide. Jpn. J. Appl. Phys. 53(8S1), 802 (2014)

    Google Scholar 

  30. J. Müller, T.S. Böscke, D. Bräuhaus, U. Schröder, U. Böttger, J. Sundqvist, P. Kcher, T. Mikolajick, L. Frey, Ferroelectric Zr0.5Hf0.5O2 thin films for nonvolatile memory applications. Appl. Phys. Lett. 99(11), 112901 (2011)

    ADS  Google Scholar 

  31. M.M. Frank, High-k / metal gate innovations enabling continued CMOS scaling, in Proc. 2011 European Solid-State Device Research Conference (ESSDERC), 25–33 (2011)

  32. J. Robertson, R.M. Wallace, High-K materials and metal gates for CMOS applications. Mater. Sci. Eng. R Rep. 88, 1–41 (2015)

    Google Scholar 

  33. J.H. Choi, Y. Mao, J.P. Chang, Development of hafnium based high-k materials—A review. Mater. Sci. Eng. R Rep. 72(6), 97–136 (2011)

    Google Scholar 

  34. T.S. Böscke, J. Müller, D. Bräuhaus, U. Schröder, U. Böttger, Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors, in Tech. Dig. 2011 IEEE International Electron Devices Meeting (IEDM), 547 (2011)

  35. M. Trentzsch, S. Flachowsky, R. Richter, J. Paul, B. Reimer, D. Utess, S. Jansen, H. Mulaosmanovic, S. Müller, S. Slesazeck, J. Ocker, M. Noack, J. Müller, P. Polakowski, J. Schreiter, S. Beyer, T. Mikolajick, and B. Rice, A 28 nm HKMG super low power embedded NVM technology based on ferroelectric FETs, in Tech. Dig. 2016 IEEE International Electron Devices Meeting (IEDM), 294–297 (2016)

  36. S. Dunkel, M. Trentzsch, R. Richter, P. Moll, C. Fuchs, O. Gehring, M. Majer, S. Wittek, B. Muller, T. Melde, H. Mulaosmanovic, S. Slesazeck, S. Müller, J. Ocker, M. Noack, D.-A. Lohr, P. Polakowski, J. Müller, T. Mikolajick, J. Hontschel, B. Rice, J. Pellerin, S. Beyer, A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond, in Tech. Dig. 2017 IEEE International Electron Devices Meeting (IEDM), 485–488 (2017)

  37. S. Müller, H. Zhou, A. Benoist, J. Ocker, M. Noack, G. Kuzmanov, R. Iqbal, D.L. Minh, M. Ghazaryan, V. Anjaneyamoorthi, A. Daraghmah, M. Mennenga, F. Koushan, F. Tassan, S. Dünkel, J. Müller, S. Beyer, S. Soss, A. Pourkeramati, Development Status of Gate-First FeFET Technology, in Proc. 2021 Symposia on VLSI Technology and Circuits, TFS1–5 (2021)

  38. K. Seidel, D. Lehninger, R. Hoffmann, T. Ali, M. Lederer, R. Revello, K. Mertens, K. Biedermann, Y. Shen, D. Wang, M. Landwehr, A. Heinig, T. Kämpfe, H. Mähne, K. Bernert, S. Thiem, Memory array demonstration of fully integrated 1T-1C FeFET concept with separated ferroelectric MFM device in interconnect layer, in Proc. 2022 Symposia on VLSI Technology and Circuits, T09–1 (2022)

  39. E.T. Breyer, H. Mulaosmanovic, T. Mikolajick, S. Slesazeck, A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond, in Tech. Dig. 2017 IEEE International Electron Devices Meeting (IEDM), 669–672 (2017)

  40. S. Dutta, B. Grisafe, C. Frentzel, Z. Enciso, M.S. Jose, J. Smith, K. Ni, S. Joshi, S. Datta, Experimental demonstration of gate-level logic camouflaging and run-time reconfigurability using ferroelectric FET for hardware security. IEEE Trans. Electron Devices 68(2), 516–522 (2021)

    ADS  Google Scholar 

  41. K. Ni, X. Yin, A.F. Laguna, S. Joshi, S. Dünkel, M. Trentzsch, J. Müeller, S. Beyer, M. Niemier, X.S. Hu, S. Datta, Ferroelectric ternary content-addressable memory for one-shot learning. Nat. Electron. 2(11), 521–529 (2019)

    Google Scholar 

  42. M. Jerry, S. Dutta, A. Kazemi, K. Ni, J. Zhang, P.-Y. Chen, P. Sharma, S. Yu, X.S. Hu, M. Niemier, S. Datta, A ferroelectric field effect transistor based synaptic weight cell. J. Phys. D: Appl. Phys. 51(43), 434001 (2018)

    Google Scholar 

  43. M. Seo, M.-H. Kang, S.-B. Jeon, H. Bae, J. Hur, B.C. Jang, S. Yun, S. Cho, W.-K. Kim, M.-S. Kim, K.-M. Hwang, S. Hong, S.-Y. Choi, Y.-K. Choi, First demonstration of a logic-process compatible junctionless ferroelectric FinFET synapse for neuromorphic applications. IEEE Electron Devices Lett. 39(9), 1445–1448 (2018)

    ADS  Google Scholar 

  44. M. Lederer, T. Kämpfe, T. Ali, F. Müller, R. Olivo, R. Hoffmann, N. Laleni, K. Seidel, Ferroelectric field effect transistors as a synapse for neuromorphic application. IEEE Trans. Electron Devices 68(5), 2295–2300 (2021)

    ADS  Google Scholar 

  45. C. Matsui, K. Toprasertpong, S. Takagi, K. Takeuchi, Energy-efficient reliable HZO FeFET computation-in-memory with local multiply & global accumulate array for source-follower & charge-sharing voltage sensing. In Proc. 2021 Symposia on VLSI Technology and Circuits, JFS2–8 (2021).

  46. Y. Fang, J. Gomez, Z. Wang, S. Datta, A.I. Khan, A. Raychowdhury, Neuro-mimetic dynamics of a ferroelectric FET-based spiking neuron. IEEE Electron Devices Lett. 40(7), 1213–1216 (2019)

    ADS  Google Scholar 

  47. S. Dutta, C. Schafer, J. Gomez, K. Ni, S. Joshi, S. Datta, Supervised learning in all FeFET-based spiking neural network: Opportunities and challenges. Front. Neurosci. 14, 634 (2020)

    Google Scholar 

  48. J. Luo, T. Liu, Z. Fu, X. Wei, M. Yang, L. Chen, Q. Huang, R. Huang, A novel ferroelectric FET-based adaptively-stochastic neuron for stimulated-annealing based optimizer with ultra-low hardware cost. IEEE Electron Devices Lett. 43(2), 308–311 (2022)

    ADS  Google Scholar 

  49. K. Toprasertpong, E. Nako, Z. Wang, R. Nakane, M. Takenaka, S. Takagi, Reservoir computing on a silicon platform with a ferroelectric field-effect transistor, Commun. Eng. 1(1), (2022).

  50. H. Mulaosmanovic, T. Mikolajick, S. Slesazeck, Random number generation based on ferroelectric switching. IEEE Electron Device Lett. 39(1), 135–138 (2018)

    ADS  Google Scholar 

  51. H. Mulaosmanovic, E.T. Breyer, T. Mikolajick, S. Slesazeck, Reconfigurable frequency multiplication with a ferroelectric transistor. Nat. Electron. 3(7), 391–397 (2020)

    Google Scholar 

  52. H.T. Lue, C.J. Wu, T.Y. Tseng, Device modeling of ferroelectric memory field-effect transistor (FeMFET). IEEE Trans. Electron Devices 49(10), 1790–1798 (2002)

    ADS  Google Scholar 

  53. J.M. Sallese, V. Meyer, The ferroelectric MOSFET: A self-consistent quasi-static model and its implications. IEEE Trans. Electron Devices 51(12), 2145–2153 (2004)

    ADS  Google Scholar 

  54. K. Florent, S. Lavizzari, L. Di Piazza, M. Popovici, E. Vecchio, G. Potoms, G. Groeseneken, J. Van Houdt, First demonstration of vertically stacked ferroelectric Al doped HfO2 devices for NAND applications, in Proc. 2017 Symposium on VLSI Technology, T158–T159 (2017).

  55. J.D. Anderson, J. Merkel, D. Macmahon, S.K. Kurinec, Evaluation of Si:HfO2 ferroelectric properties in MFM and MFIS structures. IEEE J. Electron Devices Soc. 6, 525–534 (2018)

    Google Scholar 

  56. K. Toprasertpong, K. Tahara, M. Takenaka, S. Takagi, Evaluation of polarization characteristics in metal/ferroelectric/semiconductor capacitors and ferroelectric field-effect transistors. Appl. Phys. Lett. 116(24), 242903 (2020)

    ADS  Google Scholar 

  57. M. Kobayashia, T. Hiramoto, On device design for steep-slope negative-capacitance field-effect-transistor operating at sub-0.2V supply voltage with ferroelectric HfO2 thin film, AIP Adv. 6(2), 025113 (2016)

  58. S.C. Chang, U.E. Avci, D.E. Nikonov, I.A. Young, Inversion charge boost and transient steep-slope induced by free-charge-polarization mismatch in a ferroelectric-metal-oxide-semiconductor capacitor, IEEE. J. Explor. Solid-State Comput. Devices Circuits 4(2), 44–49 (2018)

    ADS  Google Scholar 

  59. M. Yamaguchi, T. Gotow, M. Takenaka, S. Takagi, Drive current enhancement of Si MOSFETs by using anti-ferroelectric gate insulators, Jpn. J. Appl. Phys. 58(SB), SBBA15 (2019)

  60. K. Toprasertpong, M. Takenaka, S. Takagi, Direct observation of charge dynamics in FeFET by quasi-static split C-V and hall techniques: Revealing FeFET operation, in Tech. Dig. 2019 IEEE International Electron Devices Meeting (IEDM), 570–573 (2019)

  61. S. Deng, Z. Liu, X. Li, T.P. Ma, K. Ni, Guidelines for ferroelectric FET reliability optimization: Charge matching. IEEE Electron Device Lett. 41(9), 1348–1351 (2020)

    ADS  Google Scholar 

  62. M. Si, X. Lyu, P.D. Ye, Ferroelectric polarization switching of hafnium zirconium oxide in a ferroelectric/dielectric stack. ACS Appl. Electron. Mater. 1(5), 745–751 (2019)

    Google Scholar 

  63. R. Ichihara, K. Suzuki, H. Kusai, K. Ariyoshi, K. Akari, K. Takano, K. Matsuo, Y. Kamiya, K. Takahashi, H. Miyagawa, Y. Kamimuta, K. Sakuma, M. Saitoh, Re-examination of Vth window and reliability in HfO2 FeFET based on the direct extraction of spontaneous polarization and trap charge during memory operation, in Proc. 2020 Symposia on VLSI Technology and Circuits, TF1.2 (2020).

  64. M.H. Park, Y.H. Lee, T. Mikolajick, U. Schroeder, C.S. Hwang, Review and perspective on ferroelectric HfO2-based thin films for memory applications. MRS Commun. 8(3), 795–808 (2018)

    Google Scholar 

  65. S. Oh, H. Hwang, I.K. Yoo, Ferroelectric materials for neuromorphic computing. Appl. Phys. Lett. Metter. 7(9), 091109 (2019)

    Google Scholar 

  66. T. Mikolajick, U. Schroeder, S. Slesazeck, The past, the present, and the future of ferroelectric memories. IEEE Trans. Electron Devices 67(4), 1434–1443 (2020)

    ADS  Google Scholar 

  67. A.I. Khan, A. Keshavarzi, S. Datta, The future of ferroelectric field-effect transistor technology. Nat. Electron. 3(10), 588–597 (2020)

    Google Scholar 

  68. S. Beyer, S. Dünkel, M. Trentzsch, J. Müller, A. Hellmich, D. Utess, J. Paul, D. Kleimaier, J. Pellerin, S. Müller, J. Ocker, A. Benoist, H. Zhou, M. Mennenga, M. Schuster, F. Tassan, M. Noack, A, Pourkeramati, F. Müller, M. Lederer, T. Ali, R. Hoffmann, T. Kämpfe, K. Seidel, H. Mulaosmanovic, E.T. Breyer, T. Mikolajick, S. Slesazeck, FeFET: A versatile CMOS compatible device with game-changing potential, in Proc. 2020 IEEE International Memory Workshop (IMW), (2020).

  69. K. Ni, S. Dutta, S, Datta, Ferroelectrics: From Memory to Computing, in Proc. 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC), 6B-1 (2020).

  70. H. Mulaosmanovic, E.T. Breyer, S. Dünkel, S. Beyer, T. Mikolajick, S. Slesazeck, Ferroelectric field-effect transistors based on HfO2: a review. Nanotechnology 32(50), 502002 (2021)

    Google Scholar 

  71. E.T. Breyer, H. Mulaosmanovic, T. Mikolajick, S. Slesazeck, Perspective on ferroelectric, hafnium oxide based transistors for digital beyond von-Neumann computing. Appl. Phys. Lett. 118(5), 050501 (2021)

    ADS  Google Scholar 

  72. U. Schroeder, M.H. Park, T. Mikolajick, C.S. Hwang, The fundamentals and applications of ferroelectric HfO2. Nat. Rev. Mater. 7(8), 653–669 (2022)

    ADS  Google Scholar 

  73. K.Y. Chen, Y.H. Huang, R.W. Kao, Y.X. Lin, K.Y. Hsieh, Y.H. Wu, Enhanced Reliability of Ferroelectric HfZrOx on Semiconductor by Using Epitaxial SiGe as Substrate. IEEE Trans. Electron Devices 66(8), 3636–3639 (2019)

    ADS  Google Scholar 

  74. K. Toprasertpong, K. Tahara, T. Fukui, Z. Lin, K. Watanabe, M. Takenaka, and S. Takagi, Improved ferroelectric/semiconductor interface properties in Hf0.5Zr0.5O2 ferroelectric FETs by low-temperature annealing, IEEE Electron Device Lett. 41(10), 1588–1591 (2020)

  75. J. Müller, E. Yurchuk, T. Schlösser, J. Paul, R. Hoffmann, S. Müller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kücher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schröder, T. Mikolajick, Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG, in Proc. 2012 Symposium on VLSI Technology, 25–26 (2012).

  76. E. Yurchuk, J. Müller, R. Hoffmann, J. Paul, D. Martin, R. Boschke, T. Schlösser, S. Müller, S. Slesazeck, R. van Bentum, M. Trentzsch, U. Schröder, T. Mikolajick, HfO2-based ferroelectric field-effect transistors with 260 nm channel length and long data retention, in Proc. 2012 IEEE International Memory Workshop (IMW), (2012).

  77. K. Chatterjee, S. Kim, G. Karbasian, A.J. Tan, A.K. Yadav, A.I. Khan, C. Hu, S. Salahuddin, Self-aligned, gate last, FDSOI, ferroelectric gate memory device with 5.5-nm Hf0.8Zr0.2O2, high endurance and breakdown recovery, IEEE Electron Device Lett. 38(10), 1379–1382 (2017)

  78. B. Zeng, W. Xiao, J. Liao, H. Liu, M. Liao, Q. Peng, S. Zheng, Y. Zhou, Compatibility of HfN metal gate electrodes with Hf0.5Zr0.5O2 ferroelectric thin films for ferroelectric field-effect transistors. IEEE Electron Device Lett. 39(10), 1508–1511 (2018)

    ADS  Google Scholar 

  79. T. Ali, P. Polakowski, S. Riedel, T. Büttner, T. Kämpfe, M. Rudolph, B. Pätzold, K. Seidel, D. Löhr, R. Hoffmann, M. Czernohorsky, K. Kühnel, X. Thrun, N. Hanisch, P. Steinke, J. Calvo, J. Müller, Silicon doped hafnium oxide (HSO) and hafnium zirconium oxide (HZO) based FeFET: A material relation to device physics. Appl. Phys. Lett. 112(22), 222903 (2018)

    ADS  Google Scholar 

  80. Y.H. Chen, C.J. Su, C. Hu, T.L. Wu, Effects of annealing on ferroelectric hafnium-zirconium-oxide-based transistor technology. IEEE Electron Device Lett. 40(3), 467–470 (2019)

    ADS  Google Scholar 

  81. W. Xiao, C. Liu, Y. Peng, S. Zheng, Q. Feng, C. Zhang, J. Zhang, Y. Hao, M. Liao, Y. Zhou, Performance improvement of Hf0.5Zr0.5O2-based ferroelectric-field-effect transistors with ZrO2 seed layers, IEEE Electron Device Lett. 40(5), 714–717 (2019)

  82. W. Chakraborty, M.S. Jose, J. Gomez, A. Saha, K.A. Aabrar, P. Fay, S. Gupta, S. Datta, Higher-k zirconium doped hafnium oxide (HZO) trigate transistors with higher DC and RF performance and improved reliability, in Proc. 2021 Symposia on VLSI Technology and Circuits, T7–1 (2021)

  83. M.C. Nguyen, S. Kim, K. Lee, J.Y. Yim, R. Choi, D. Kwon, Wakeup-free and endurance-robust ferroelectric field-effect transistor memory using high pressure annealing. IEEE Electron Device Lett 42(9), 1295–1298 (2021)

    ADS  Google Scholar 

  84. S.S. Cheema, N. Shanker, L.C. Wang, C.H. Hsu, S.L. Hsu, Y.H. Liao, M. San Jose, J. Gomez, W. Chakraborty, W. Li, J. H. Bae, S.K. Volkman, D. Kwon, Y. Rho, G. Pinelli, R. Rastogi, D. Pipitone, C. Stull, M. Cook, B. Tyrrell, V. . Stoica, Z. Zhang, J.W. Freeland, C.J. Tassone, A. Mehta, G. Saheli, D. Thompson, D.I. Suh, W.T. Koo, K.J. Nam, D.J. Jung, W. bin Song, C.H. Lin, S. Nam, J. Heo, N. Parihar, C.P. Grigoropoulos, P. Shafer, P. Fay, R. Ramesh, S. Mahapatra, J. Ciston, S. Datta, M. Mohamed, C. Hu, S. Salahuddin, Ultrathin ferroic HfO2-ZrO2 superlattice gate stack for advanced transistors, Nature 604(7904), 65–71 (2022).

  85. F. Mo, J.W. Xiang, X. Mei, Y. Sawabe, T. Saraya, T. Hiramoto, C.-J. Su, V.P.-H. Hu, M. Kobayashi, Efficient erase operation by GIDL current for 3D structure FeFETs with gate stack engineering and compact long-term retention model. IEEE J. Electron Devices Soc. 10, 115–122 (2022)

    Google Scholar 

  86. K. Toprasertpong, Z.-Y. Lin, T.-E. Lee, M. Takenaka, S. Takagi, Asymmetric polarization response of electrons and holes in Si FeFETs: Demonstration of absolute polarization hysteresis loop and inversion hole density over 2×1013 cm-2, in Proc. 2020 Symposia on VLSI Technology and Circuits, TF1.5 (2020)

  87. R. Ichihara, Y. Higashi, K. Suzuki, K. Takano, Y. Yoshimura, T. Hamai, K. Takahashi, K. Matsuo, Y. Nakasaki, M. Suzuki, Y. Kamimuta, M. Saitoh, Accurate picture of cycling degradation in HfO2-FeFET based on charge trapping dynamics revealed by fast charge centroid analysis, in Tech. Dig. 2021 IEEE International Electron Devices Meeting (IEDM), 130–133 (2021)

  88. J. Muller, P. Polakowski, S. Müller, H. Mulaosmanovic, J. Ocker, T. Mikolajick, S. Slesazeck, S. Muller, J. Ocker, T. Mikolajick, S. Flachowsky, and M. Trentzsch, High endurance strategies for hafnium oxide based ferroelectric field effect transistor, in Proc. 16th Non-Volatile Memory Technol. Symp. (NVMTS), 1–7 (2016)

  89. E. Yurchuk, J. Müller, S. Muller, J. Paul, M. Pesic, R.v. Bentum, U. Schroeder, T. Mikolajick, Charge-trapping phenomena in HfO2-based FeFET-type nonvolatile memories, IEEE Trans. Electron Devices 63(9), 3501–3507 (2016)

  90. K. Ni, P. Sharma, J. Zhang, M. Jerry, J.A. Smith, K.Tapily, R. Clark, S. Mahapatra, and S. Datta, Critical role of interlayer in Hf0.5Zr0.5O2 ferroelectric FET nonvolatile memory performance, IEEE Trans. Electron Devices 65(6), 2461–2469 (2018).

  91. N. Gong, T.-P. Ma, A study of endurance issues in HfO2-based ferroelectric field effect transistors: Charge trapping and trap generation. IEEE Electron Device Lett. 39(1), 15–18 (2018)

    ADS  Google Scholar 

  92. H. Mulaosmanovic, S. Dünkel, J. Müller, M. Trentzsch, S. Beyer, E.T. Breyer, T. Mikolajick, S. Slesazeck, Impact of read operation on the performance of HfO2-based ferroelectric FETs. IEEE Electron Device Lett. 41(9), 1420–1423 (2020)

    ADS  Google Scholar 

  93. H. Mulaosmanovic, E.T. Breyer, T. Mikolajick, S. Slesazeck, Ferroelectric FETs with 20-nm-thick HfO2 layer for large memory window and high performance. IEEE Trans. Electron Devices 66(9), 3828–3833 (2019)

    ADS  Google Scholar 

  94. T. Ali, K. Kuhnel, M. Czernohorsky, C. Mart, M. Rudolph, B. Patzold, D. Lehninger, R. Olivo, M. Lederer, F. Muller, R. Hoffmann, J. Metzger, R. Binder, P. Steinke, T. Kampfe, J. Muller, K. Seidel, L.M. Eng, A study on the temperature-dependent operation of fluorite-structure-based ferroelectric HfO2 memory FeFET: Pyroelectricity and reliability. IEEE Trans. Electron Devices 67(7), 2981–2987 (2020)

    ADS  Google Scholar 

  95. X. Wang, X. Sun, Y. Zhang, L. Zhou, J. Xiang, X. Ma, H. Yang, Y. Li, K. Han, J. Luo, C. Zhao, W. Wang, Impact of charges at ferroelectric/interlayer interface on depolarization field of ferroelectric FET with metal/ferroelectric/interlayer/Si gate-stack. IEEE Trans. Electron Devices 67(10), 4500–4506 (2020)

    ADS  Google Scholar 

  96. J. Müller, T.S. Böscke, S. Müller, E. Yurchuk, P. Polakowski, J. Paul, D. Martin, T. Schenk, K. Khullar, A. Kersch, W. Weinreich, S. Riedel, K. Seidel, A. Kumar, T.M. Arruda, S.V. Kalinin, T. Schlösser, R. Boschke, R. van Bentum, U. Schröder, T. Mikolajick, Ferroelectric hafnium oxide: A CMOS-compatible and highly scalable approach to future ferroelectric memories, in Tech. Dig. 2013 IEEE International Electron Devices Meeting (IEDM), 280–283 (2013)

  97. J. Müller, T.S. Böscke, U. Schröder, R. Hoffmann, T. Mikolajick, L. Frey, Nanosecond polarization switching and long retention in a novel MFIS-FET Based on ferroelectric HfO2. IEEE Electron Device Lett. 33(2), 185–187 (2012)

    ADS  Google Scholar 

  98. E. Yurchuk, J. Muller, J. Paul, T. Schlosser, D. Martin, R. Hoffmann, S. Mueller, S. Slesazeck, U. Schroeder, R. Boschke, R. van Bentum, T. Mikolajick, Impact of scaling on the performance of HfO2-based ferroelectric field effect transistors. IEEE Trans. Electron Devices 61(11), 3699–3706 (2014)

    ADS  Google Scholar 

  99. W. Xiao, C. Liu, Y. Peng, S. Zheng, Q. Feng, C. Zhang, J. Zhang, Y. Hao, M. Liao, Y. Zhou, Memory window and endurance improvement of Hf0.5Zr0.5O2-based FeFETs with ZrO2 seed layers characterized by fast voltage pulse measurements, Nanoscale Res. Lett. 14(1), 254 (2019)

  100. S.J. Yoon, D.H. Min, S.E. Moon, K.S. Park, J. il Won, S.M. Yoon, Improvement in long-term and high-temperature retention stability of ferroelectric field-effect memory transistors with metal-ferroelectric-metal-insulator-semiconductor gate-stacks using Al-doped HfO2 thin films, IEEE Trans. Electron Devices 67(2), 499–504 (2020).

  101. J.-D. Luo, Y.-Y. Lai, K.-Y. Hsiang, C.-F. Wu, H.-T. Chung, W.-S. Li, C.-Y. Liao, P.-G. Chen, K.-N. Chen, M.-H. Lee, H.-C. Cheng, Atomic layer deposition plasma-based undoped-HfO2 ferroelectric FETs for non-volatile memory. IEEE Electron Device Lett. 42(8), 1152–1155 (2021)

    ADS  Google Scholar 

  102. Y. Higashi, N. Ronchi, B. Kaczer, K. Banerjee, S.R.C. Mcmitchell, B.J. O’sullivan, S. Clima, A. Minj, U. Celano, L. di Piazza, M. Suzuki, D. Linten, J. van Houdt, Impact of charge trapping and depolarization on data retention using simultaneous P-V and I-V in HfO2-based ferroelectric FET. IEEE Trans. Electron Devices 68(9), 4391–4396 (2021)

    ADS  Google Scholar 

  103. K. Florent, M. Pesic, A. Subirats, K. Banerjee, S. Lavizzari, A. Arreghini, L. Di Piazza, G. Potoms, F. Sebaai, S. R. C. McMitchell, M. Popovici, G. Groeseneken, J. Van Houdt, Vertical ferroelectric HfO2 FET based on 3-D NAND Architecture: Towards dense low-power memory, in Tech. Dig. 2018 IEEE International Electron Devices Meeting (IEDM), 43–46 (2018)

  104. M. Pesic, A. Padovani, S. Slesazeck, T. Mikolajick, L. Larcher, Deconvoluting charge trapping and nucleation interplay in FeFETs: Kinetics and reliability, in Tech. Dig. 2018 IEEE International Electron Devices Meeting (IEDM), 588–591 (2018)

  105. B. Zeng, M. Liao, J. Liao, W. Xiao, Q. Peng, S. Zheng, Y. Zhou, Program/erase cycling degradation mechanism of HfO2-based FeFET memory devices. IEEE Electron Device Lett. 40(5), 710–713 (2019)

    ADS  Google Scholar 

  106. J. Duan, H. Xu, S. Zhao, F. Tian, J. Xiang, K. Han, T. Li, X. Wang, W. Wang, T. Ye, Impact of mobility degradation on endurance fatigue of FeFET with TiN/Hf0.5Zr0.5O2/SiOx/Si (MFIS) gate structure. J. Appl. Phys. 131(13), 134102 (2022)

    ADS  Google Scholar 

  107. S. Zhao, F. Tian, H. Xu, J. Xiang, T. Li, J. Chai, J. Duan, K. Han, X. Wang, W. Wang, T. Ye, Experimental extraction and simulation of charge trapping during endurance of FeFET with TiN/HfZrO/SiO2/Si (MFIS) gate structure. IEEE Trans. Electron Devices 69(3), 1561–1567 (2022)

    ADS  Google Scholar 

  108. N. Tasneem, Z. Wang, Z. Zhao, N. Upadhyay, S. Lombardo, H. Chen, J. Hur, D. Triyoso, S. Consiglio, K. Tapily, R. Clark, G. Leusink, S. Kurinec, S. Datta, S. Yu, K. Ni, M. Passlack, W. Chern, A. Khan, Trap capture and emission dynamics in ferroelectric field-effect transistors and their impact on device operation and reliability, in Tech. Dig. 2021 IEEE International Electron Devices Meeting (IEDM), 122–125 (2021)

  109. N. Zagni, P. Pavan, M.A. Alam, A memory window expression to evaluate the endurance of ferroelectric FETs. Appl. Phys. Lett. 117(15), 162901 (2020)

    Google Scholar 

  110. Y. Xiang, M.G. Bardon, B. Kaczer, M.N.K. Alam, L.A. Ragnarsson, K. Kaczmarek, B. Parvais, G. Groeseneken, J. van Houdt, Compact Modeling of multidomain ferroelectric FETs: charge trapping, channel percolation, and nucleation-growth domain dynamics. IEEE Trans. Electron Devices 68(4), 2107–2115 (2021)

    ADS  Google Scholar 

  111. K. Toprasertpong, M. Takenaka, S. Takagi, Memory window in ferroelectric field-effect transistors: Analytical approach, IEEE Trans. Electron Devices (2022), in press; DOI: https://doi.org/10.1109/TED.2022.3215667

  112. E. Yurchuk, S. Mueller, D. Martin, S. Slesazeck, U. Schroeder, T. Mikolajick, J. Müller, J. Paul, R. Hoffmann, J. Sundqvist, T. Schlösser, R. Boschke, R. van Bentum, M. Trentzsch, Origin of the endurance degradation in the novel HfO2-based 1T ferroelectric non-volatile memories, in Proc. 2014 IEEE International Memory Workshop (IMW), (2014).

  113. S. Deng, Z. Jiang, S. Dutta, H. Ye, W. Chakraborty, S. Kurinec, S. Datta, K. Ni, Examination of the interplay between polarization switching and charge trapping in ferroelectric FET, in Tech. Dig. 2020 IEEE International Electron Devices Meeting (IEDM), 67–70 (2020)

  114. Z. Wang, N. Tasneem, J. Hur, H. Chen, S. Yu, W. Chern, A. Khan, Standby bias improvement of read after write delay in ferroelectric field effect transistors, in Tech. Dig. 2021 IEEE International Electron Devices Meeting (IEDM), 430–433 (2021)

  115. M.N.K. Alam, Y. Higashi, B. Truijen, B. Kaczer, M.I. Popovici, B.J. O’Sullivan, Ph. Roussel, R. Degraeve, M. Heyns, J. Van Houdt, Insight to data retention loss in ferroelectric Hf0.5Zr0.5O2 pFET and nFET from simultaneous PV and IV measurements, in Proc. 2022 Symposia on VLSI Technology and Circuits, 340–341 (2022)

  116. S.H. Kuk, S.M. Han, B.H. Kim, S.H. Baek, J.H. Han, S.H. Kim, An investigation of HZO-based n/p-FeFET operation mechanism and improved device performance by the electron detrapping mode. IEEE Trans. Electron Devices 69(4), 2080–2087 (2022)

    ADS  Google Scholar 

  117. B.J. O’sullivan, V. Putcha, R. Izmailov, V. Afanas’ev, E. Simoen, T. Jung, Y. Higashi, R. Degraeve, B. Truijen, B. Kaczer, N. Ronchi, S. Mcmitchell, K. Banerjee, S. Clima, L. Breuil, G. van den Bosch, D. Linten, J. van Houdt, Defect profiling in FEFET Si:HfO2 layers. Appl. Phys. Lett. 117(20), 203504 (2020)

    ADS  Google Scholar 

  118. E. Yurchuk, Electrical characterisation of ferroelectric field effect transistors based on ferroelectric HfO2 thin films (Logos Verlag, Berlin, 2015), pp.113–126

    Google Scholar 

  119. S.F. Mueller, Development of HfO2-based ferroelectric memories for future CMOS technology nodes (Books on Demand, Norderstedt, 2016), pp.60–82

    Google Scholar 

  120. H. Mulaosmanovic, E.T. Breyer, T. Mikolajick, S. Slesazeck, Switching and charge trapping in HfO2-based ferroelectric FETs: An overview and potential applications, in Proc. 2020 IEEE Electron Devices Technology and Manufacturing Conference (EDTM), 7C-4 (2020)

  121. H. Zhou, J. Ocker, A. Padovani, M. Pesic, M. Trentzsch, S. Dünkel, H. Mulaosmanovic, S. Slesazeck, L. Larcher, S. Beyer, S. Müller, T. Mikolajick, Application and benefits of target programming algorithms for ferroelectric HfO2 transistors, in Tech. Dig. 2020 IEEE International Electron Devices Meeting (IEDM), 395–398 (2020)

  122. S. Deng, Z. Zhao, Y.S. Kim, S. Duenkel, D. MacMahon, R. Tiwari, N. Choudhury, S. Beyer, X. Gong, S. Kurinec, K. Ni, Unraveling the dynamics of charge trapping and de-trapping in ferroelectric FETs. IEEE Trans. Electron Devices 69(3), 1503–1511 (2022)

    ADS  Google Scholar 

  123. M.-H. Yan, M.-H. Wu, H.-H. Huang, Y.-H. Chen, Y.-H. Chu, T.-L. Wu, P.-C. Yeh, C.-Y. Wang, Y.-D. Lin, J.-W. Su, P.-J. Tzeng, S.-S. Sheu, W.-C. Lo, C.-I. Wu, T.-H. Hou, BEOL-compatible multiple metal-ferroelectric-metal (m-MFM) FETs designed for low voltage (2.5 V), high density, and excellent reliability, in Tech. Dig. 2020 IEEE International Electron Devices Meeting (IEDM), 75–78 (2020)

  124. S.J. Yoon, D.H. Min, S.E. Moon, K.S. Park, J. Won, S.M. Yoon, Improvement in long-term and high-temperature retention stability of ferroelectric field-effect memory transistors with metal-ferroelectric-metal-insulator-semiconductor gate-stacks using Al-doped HfO2 thin films. IEEE Trans. Electron Devices 67(2), 499–504 (2020)

    ADS  Google Scholar 

  125. T. Ali, K. Mertens, K. Kühnel, M. Rudolph, S. Oehler, D. Lehninger, F. Müller, R. Revello, R. Hoffmann, K. Zimmermann, T. Kämpfe, M. Czernohorsky, K. Seidel, J.V. Houdt, L.M. Eng, A FeFET with a novel MFMFIS gate stack: towards energy-efficient and ultrafast NVMs for neuromorphic computing. Nanotechnology 32(42), 425201 (2021)

    Google Scholar 

  126. T. Ali, K. Mertens, R. Olivo, D. Lehninger, M. Lederer, F. Müller, M. Rudolph, S. Oehler, K. Kühnel, R. Hoffmann, P. Schramm, M. Czernohorsky, T. Kämpfe, K. Seidel, Impact of stack structure control and ferroelectric material optimization in novel laminate HSO and HZO MFMIS FeFET, in Proc. 2022 International Symposium on VLSI Technology, Systems and Applications (VLSI-TSA), (2022)

  127. C.-Y. Liao, K.-Y. Hsiang, Z.-F. Lou, H.-C. Tseng, C.-Y. Lin, Z.-X. Li, F.-C. Hsieh, C.-C. Wang, F.-S. Chang, W.-C. Ray, Y.-Y. Tseng, S.T. Chang, T.-C. Chen, M.H. Lee, Endurance > 1011 cycling of 3D GAA nanosheet ferroelectric FET with stacked HfZrO2 to homogenize corner field toward mitigate dead zone for high-density eNVM, in Proc. 2022 Symposia on VLSI Technology and Circuits, 393–394 (2022)

  128. T. Ali, P. Polakowski, S. Riedel, T. Buttner, T. Kampfe, M. Rudolph, B. Patzold, K. Seidel, D. Lohr, R. Hoffmann, M. Czernohorsky, K. Kuhnel, P. Steinke, J. Calvo, K. Zimmermann, J. Muller, High endurance ferroelectric hafnium oxide-based FeFET memory without retention penalty. IEEE Trans. Electron Devices 65(9), 3769–3774 (2018)

    ADS  Google Scholar 

  129. C.-Y. Chan, K.-Y. Chen, H.-K. Peng, and Y.-H. Wu, FeFET memory featuring large memory window and robust endurance of long-pulse cycling by interface engineering using high-k AlON, in Proc. 2020 Symposia on VLSI Technology and Circuits, TF1.1 (2020)

  130. H.-K. Peng, C.-Y. Chan, K.-Y. Chen, Y.-H. Wu, Enabling large memory window and high reliability for FeFET memory by integrating AlON interfacial layer. Appl. Phys. Lett. 118(10), 103503 (2021)

    ADS  Google Scholar 

  131. S.-C. Yan, G.-M. Lan, C.-J. Sun, Y.-H. Chen, C.-H. Wu, H.-K. Peng, Y.-H. Lin, Y.-H. Wu, Y.-C. Wu, High speed and large memory window ferroelectric HfZrO2 FinFET for high-density nonvolatile memory. IEEE Electron Device Lett. 42(9), 1307–1310 (2021)

    ADS  Google Scholar 

  132. F. Tian, S. Zhao, H. Xu, J. Xiang, T. Li, W. Xiong, J. Duan, J. Chai, K. Han, X. Wang, W. Wang, T. Ye, Impact of interlayer and ferroelectric materials on charge trapping during endurance fatigue of FeFET with TiN/HfxZr1-xO2/interlayer/Si (MFIS) gate structure. IEEE Trans. Electron Devices 68(11), 5872–5878 (2021)

    ADS  Google Scholar 

  133. A.J. Tan, Y.H. Liao, L.C. Wang, N. Shanker, J.H. Bae, C. Hu, S. Salahuddin, Ferroelectric HfO2 memory transistors with high-κ interfacial layer and write endurance exceeding 1010 cycles. IEEE Electron Device Lett. 42(7), 994–997 (2021)

    ADS  Google Scholar 

  134. M. Hoffmann, A.J. Tan, N. Shanker, Y.-H. Liao, L.-C. Wang, J.-H. Bae, C. Hu, S. Salahuddin, Fast read-after-write and depolarization fields in high endurance n-type ferroelectric FETs. IEEE Electron Device Lett. 43(5), 717–720 (2022)

    ADS  Google Scholar 

  135. M. Halter, L. Bégon-Lours, V. Bragaglia, M. Sousa, B.J. Offrein, S. Abel, M. Luisier, J. Fompeyrine, Back-end, CMOS-compatible ferroelectric Field-Effect transistor for synaptic weights. ACS Appl. Mater. Interfaces 12(15), 17725–17732 (2020)

    Google Scholar 

  136. D. Kim, Y.-R. Jeon, B. Ku, C. Chung, T.H. Kim, S. Yang, U. Won, T. Jeong, C. Choi, Analog synaptic transistor with Al-doped HfO2 ferroelectric thin film. ACS Appl. Mater. Interfaces 13(44), 52743–52753 (2021)

    Google Scholar 

  137. Z. Liang, K. Tang, J. Dong, Q. Li, Y. Zhou, R. Zhu, Y. Wu, D. Han, R. Huang, A novel high-endurance FeFET memory device based on ZrO2 anti-ferroelectric and IGZO channel, in Tech. Dig. 2021 IEEE International Electron Devices Meeting (IEDM), 382–385 (2021)

  138. J. Wu, F. Mo, T. Saraya, T. Hiramoto, M. Ochi, H. Goto, M. Kobayashi, Monolithic integration of oxide semiconductor FET and ferroelectric capacitor enabled by Sn-doped InGaZnO for 3-D embedded RAM application. IEEE Trans. Electron Devices 68(12), 6617–6622 (2022)

    ADS  Google Scholar 

  139. Z. Lin, M. Si, P.D. Ye, Ultra-Fast Operation of BEOL-compatible atomic-layer-deposited In2O3 Fe-FETs: Achieving memory performance enhancement with memory window of 2.5 V and high endurance > 109 cycles without VT drift penalty, in Proc. 2022 Symposia on VLSI Technology and Circuits, 391–392 (2022)

  140. K.A. Aabrar, S.G. Kirtania, F.-X. Liang, J. Gomez, M.S. Jose, Y. Luo, H. Ye, S. Dutta, P.G. Ravikumar, P.V. Ravindran, A.I. Khan, S. Yu, S. Datta, BEOL-compatible superlattice FEFET analog synapse with improved linearity and symmetry of weight update. IEEE Trans. Electron Devices 69(4), 2094–2100 (2022)

    ADS  Google Scholar 

  141. S. Dutta, H. Ye, A.A. Khandker, S.G. Kirtania, A. Khanna, K. Ni, S. Datta, Logic compatible high-performance ferroelectric transistor memory. IEEE Electron Device Lett. 43(3), 382–385 (2022)

    ADS  Google Scholar 

  142. M. Seo, M.-H. Kang, S.-B. Jeon, H. Bae, J. Hur, B.C. Jang, S. Yun, S. Cho, W.-K. Kim, M.-S. Kim, K.-M. Hwang, S. Hong, S.-Y. Choi, Y.-K. Choi, First demonstration of a logic-process compatible junctionless ferroelectric FinFET synapse for neuromorphic applications. IEEE Electron Device Lett. 39(9), 1445–1448 (2018)

    ADS  Google Scholar 

Download references

Acknowledgements

This work was supported by JST CREST Grant Number JPMJCR20C3 and JSPS KAKENHI Grant Number 21H01359, Japan.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Kasidit Toprasertpong.

Ethics declarations

Conflict of interest

The authors declared that there are no conflicts of interest associated with this publication.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Toprasertpong, K., Takenaka, M. & Takagi, S. On the strong coupling of polarization and charge trapping in HfO2/Si-based ferroelectric field-effect transistors: overview of device operation and reliability. Appl. Phys. A 128, 1114 (2022). https://doi.org/10.1007/s00339-022-06212-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s00339-022-06212-6

Keywords

Navigation