Skip to main content
Log in

Devices and architectures for photonic chip-scale integration

  • Published:
Applied Physics A Aims and scope Submit manuscript

Abstract

Silicon nanophotonics holds the promise of dramatically advancing the state of the art in computing by enabling parallel architectures that combine unprecedented performance and ease of use with affordable power consumption. This paper presents a design study for a many-core architecture called Corona which utilizes dense wavelength division multiplexing (DWDM) for on- and off-chip communication together with the devices which will be needed to implement such a communication infrastructure.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Similar content being viewed by others

References

  1. http://www.itrs.net/

  2. K. Asanovic et al., The landscape of parallel computing research: a view from Berkeley. Technical Report UCB/EECS-2006-183, EECS Department, University of California, Berkeley, December 2006

  3. N. Kirman, M. Kirman, R.K. Dokania, J. Martinez, A.B. Apsel, M.A. Watkins, D.H. Albonesi, Optical technology in future bus-based multicore designs: opportunities and challenges. IEEE Micro 27, 56–66 (2007)

    Article  Google Scholar 

  4. K. Bergman, L. Carloni, Power efficient photonic networks on-chip, in Proc. Soc. Photo-Opt. Instrum. Eng., vol. 6898 (2008), p. 689813

  5. L. Seiler et al., Larrabee: a many-core x86 architecture for visual computing, in SIGGRAPH, August 2008

  6. S. Vangal et al., An 80 Tile 1.28 TFLOPs Network-on-Chip in 65 nm CMOS, in ISSCC, February 2006

  7. D.E. Atkins, K.K. Droegemeier, S.I. Feldman, H. Garcia-Molina, M.L. Klein, D.G. Messerschmitt, P. Messina, J.P. Ostriker, M.H. Wright, Revolutionizing science and engineering through cyberinfrastructure. Report of the National Science Foundation Blue-Ribbon Advisory Panel on Cyberinfrastructure, January 2003

  8. R. Ho, On-chip wires: scaling and efficiency. PhD thesis, Stanford University, 2003

  9. R. Palmer et al., A 14 mW 6.25 Gb/s transceiver in 90 nm CMOS for serial chip-to-chip communications, in ISSCC, February 2007

  10. B. Black et al., Die stacking (3D) Microarchitecture, in Proceedings of the 39th International Symposium on Microarchitecture, December 2006

  11. A. Liu, R. Jones, L. Liao, D. Samara-Rubio, D. Rubin, O. Cohen, R. Nicolaescu, M.J. Paniccia, A high-speed silicon optical modulator based on a metal-oxide-semiconductor capacitor. Nature 427, 615–618 (2004)

    Article  ADS  Google Scholar 

  12. A. Kovsh, I. Krestnikov, D. Livshits, S. Mikhrin, J. Weimert, A. Zhukov, Quantum dot laser with 75 nm broad spectrum of emission. Opt. Lett. 32, 793–795 (2007)

    Article  ADS  Google Scholar 

  13. A. Gubenko, I. Krestnikov, D. Livshtis, S. Mikhrin, A. Kovsh, L. West, C. Bornholdt, N. Grote, A. Zhukov, Error-free 10 Gbit/s transmission using individual Fabry–Perot modes of low-noise quantum-dot laser. Electron. Lett. 43, 1430–1431 (2007)

    Article  Google Scholar 

  14. http://www.innolume.com/

  15. B.R. Koch, A.W. Fang, O. Cohen, J.E. Bowers, Mode-locked silicon evanescent lasers. Opt. Express 15, 11225–11233 (2007)

    Article  ADS  Google Scholar 

  16. W.M. Green, M.J. Rooks, L. Sekaric, Y.A. Vlasov, Ultra-compact, low RF power, 10 Gb/s silicon Mach–Zehnder modulator. Opt. Express 15, 17106–17113 (2007)

    Article  ADS  Google Scholar 

  17. Y.-H. Kuo, Y.-K. Lee, Y. Ge, S. Ren, J.E. Roth, T.I. Kamins, D.A.B. Miller, J.S. Harris, Strong quantum-confined stark effect in germanium quantum-well structures on silicon. Nature 437, 1334–1336 (2005)

    Article  ADS  Google Scholar 

  18. J.E. Roth, O. Fidaner, R.K. Schaevitz, Y.-H. Kuo, T.I. Kamins, J.S. Harris, D.A.B. Miller, Optical modulator on silicon employing germanium quantum wells. Opt. Express 15, 5851–5859 (2007)

    Article  ADS  Google Scholar 

  19. Q. Xu, B. Schmidt, S. Pradhan, M. Lipson, Micrometre-scale silicon electro-optic modulator. Nature 435, 325–327 (2005)

    Article  ADS  Google Scholar 

  20. Q. Xu, B. Schmidt, J. Shakya, M. Lipson, Cascaded silicon micro-ring modulators for WDM optical interconnection. Opt. Express 14, 9430–9435 (2006)

    ADS  Google Scholar 

  21. Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, M. Lipson, 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. Opt. Express 15, 430–436 (2006)

    Article  ADS  Google Scholar 

  22. S. Xiao, M.H. Khan, H. Shen, M. Qi, A highly compact third-order silicon microring add-drop filter with a very large free spectral range, a flat passband and a low delay dispersion. Opt. Express 15, 14765–14771 (2007)

    Article  ADS  Google Scholar 

  23. M.S. Nawrocka, T. Liu, X. Wang, R.R. Panepucci, Tunable silicon microring resonator with wide free spectral range. Appl. Phys. Lett. 89, 071110 (2006)

    Article  ADS  Google Scholar 

  24. Q. Xu, D. Fattal, R.G. Beausoleil, Silicon microring resonators with 1.5-μm radius. Opt. Express, 16, 4309–4315 (2008)

    Article  ADS  Google Scholar 

  25. M. Lipson, Guiding, modulating, and emitting light on silicon challenges and opportunities. J. Lightwave Technol. 23, 4222 (2005)

    Article  ADS  Google Scholar 

  26. D. Vantrease et al., Corona: system implications of emerging nanophotonic technology, in International Symposium on Computer Architecture (2008), pp. 153–164

  27. T. Yin et al., 31 GHz Ge n-i-p waveguide photodetectors on silicon-on-insulator substrate. Opt. Express 15, 13965 (2006)

    Google Scholar 

  28. S.C. Woo, M. Ohara, E. Torrie, J.P. Singh, A. Gupta, The SPLASH-2 programs: characterization and methodological considerations, in International Symposium on Computer Architecture (1995)

  29. A. Falcon, P. Faraboschi, D. Ortega, Combining simulation and virtualization through dynamic sampling, in ISPASS, April 2007

  30. N.L. Binkert, R.G. Dreslinski, L.R. Hsu, K.T. Lim, A.G. Saidi, S.K. Reinhardt, The M5 simulator: modeling networked systems. IEEE Micro 26(4) (2006)

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to M. Fiorentino.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Ahn, J., Fiorentino, M., Beausoleil, R.G. et al. Devices and architectures for photonic chip-scale integration. Appl. Phys. A 95, 989–997 (2009). https://doi.org/10.1007/s00339-009-5109-2

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00339-009-5109-2

PACS

Navigation