Skip to main content
Log in

Virtual metrology for enabling zero-defect manufacturing: a review and prospects

  • Critical Review
  • Published:
The International Journal of Advanced Manufacturing Technology Aims and scope Submit manuscript

Abstract

The ultimate objective of “Zero-Defect Manufacturing,” as a new growth step of Industry 4.0, is to significantly increase product yield and eventually accomplish zero-defect. For product quality inspection, the production sector currently uses physical inspection, which is an offline destructive test with a high discovery cost. Virtual metrology (VM), as one of the key technological methods to increase product yield, can forecast product quality through production process data, transforming conventional offline and delayed quality sampling into online and real-time quality full inspection. The growth timeline, application areas, methods, and technologies of VM are examined horizontally and vertically along the timeline in this article. Finally, the future growth of VM is prospected, and a manufacturing industry product quality management system that integrates data preprocessing and visualization, VM, and quality tracing is proposed.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13

Similar content being viewed by others

Availability of data and materials

Not applicable

Code availibility

Not applicable

References

  1. Zhao LP, Li BH, Yao YY (2023) A novel predict-prevention quality control method of multi-stage manufacturing process towards zero defect manufacturing. Adv Manufact 11(2):280–294

    Article  Google Scholar 

  2. Ing C-K, Lin C-Y, Peng P-H, Hsieh Y-M, Cheng F-T (2021) Golden path search algorithm for the KSA scheme. IEEE Trans Autom Sci Eng 19(3):1517–1529

    Article  Google Scholar 

  3. Psarommatis F, Fraile F, Ameri F (2023) Zero defect manufacturing ontology: a preliminary version based on standardized terms. Comput Ind 145

  4. Weber A (2007) Virtual metrology and your technology watch list: ten things you should know about this emerging technology. Future Fab International. 22(4):52–54

    Google Scholar 

  5. Cheng CY, Fu H-S, Wang Y-L, Cheng F-T (2008) Method and system for virtual metrology in semiconductor manufacturing. Google Patents. US Patent 7,359,759

  6. Hung M-H, Lin T-H, Cheng F-T, Lin R-C (2007) A novel virtual metrology scheme for predicting CVD thickness in semiconductor manufacturing. IEEE/ASME Trans Mechatron 12(3):308–316

    Article  Google Scholar 

  7. Chen P, Wu S, Lin J, Ko F, Lo H, Wang J, Yu C, Liang M (2005) Virtual metrology: a solution for wafer to wafer advanced process control. In: ISSM 2005, IEEE International symposium on semiconductor manufacturing, 2005, pp 155–157. IEEE

  8. Su Y-C, Hung M-H, Cheng F-T, Chen Y-T (2006) A processing quality prognostics scheme for plasma sputtering in TFT-LCD manufacturing. IEEE Trans Semicond Manuf 19(2):183–194

    Article  Google Scholar 

  9. Su Y-C, Cheng F-T, Hung M-H, Huang H-C (2006) Intelligent prognostics system design and implementation. IEEE Trans Semicond Manuf 19(2):195–207. https://doi.org/10.1109/TSM.2006.873512

    Article  Google Scholar 

  10. Chang Y-J, Kang Y, Hsu C-L, Chang C-T, Chan TY (2006) Virtual metrology technique for semiconductor manufacturing. In: The 2006 IEEE International joint conference on neural network proceedings, pp 5289–5293. https://doi.org/10.1109/IJCNN.2006.247284

  11. Cheng FT, Huang HC, Kao CA (2007) Development of a dual-phase virtual metrology scheme. In: Automation science and engineering, ieee international conference On

  12. Su YC, Tsai WH, Cheng FT, Wu WM (2008) Development of a dual-stage virtual metrology architecture for TFT-LCD manufacturing. In: 2008 IEEE International conference on robotics and automation, ICRA 2008, May 19–23, 2008, Pasadena, California, USA

  13. Cheng FT, Chen YT, Su YC, Zeng DL (2007) Method for evaluating reliance level of a virtual metrology system. In: IEEE International conference on robotics & automation

  14. Cheng F-T, Chen Y-T, Su Y-C, Zeng D-L (2008) Evaluating reliance level of a virtual metrology system. IEEE Trans Semicond Manuf 21(1):92–103. https://doi.org/10.1109/TSM.2007.914373

    Article  Google Scholar 

  15. Huang HC, Yu-Chuan Cheng FT, Jian JM (2007) Development of a generic virtual metrology framework. In: Automation Science and Engineering, 2007. CASE 2007. IEEE International conference on

  16. Huang YT, Huang HC, Cheng FT, Liao TS, Chang FC (2008) Automatic virtual metrology system design and implementation. In: IEEE International conference on automation science & engineering

  17. Tsai WH, Cheng FT, Wu WM, Lin TH (2010) Developing a dual-stage indirect virtual metrology architecture. In: IEEE International conference on robotics & automation

  18. Hung MH, Huang HC, Yang HC, Cheng FT (2010) Development of an automatic virtual metrology framework for TFT-LCD industry. In: Automation science & engineering

  19. Hung MH, Chen CF, Huang HC, Yang HC, Cheng FT (2012) Development of an AVM system implementation framework. IEEE Trans Semicond Manuf 25(4):598–613

    Article  Google Scholar 

  20. Cheng FT, Chang YC, Kao CA, Chen YL (2010) Configuring AVM as a MES component. In: IEEE

  21. Cheng FT, Chang YC, Huang HC, Kao CA, Chen YL, Peng JL (2011) Benefit model of virtual metrology and integrating AVM into MES. IEEE Trans Semicond Manuf 24(2):261–272

    Article  Google Scholar 

  22. Chiu YC, Lin LR, Mo WC (2012) Applying the AVM system for run-to-run control: a preliminary study. In: IEEE/ASME international conference on advanced intelligent mechatronics

  23. Cheng F-T, Huang H-C, Kao C-A (2012) Developing an automatic virtual metrology system. IEEE Trans Autom Sci Eng 9(1):181–188. https://doi.org/10.1109/TASE.2011.2169405

    Article  Google Scholar 

  24. Aderhold W, Iliopoulos I, Hunter A (2007) Virtual metrology in RTP with WISR. In: 2007 15th International conference on advanced thermal processing of semiconductors, pp 101–104. https://doi.org/10.1109/RTP.2007.4383826

  25. Imai SI virtual metrology for plasma particle in plasma etching equipment ISSM paper: pc-o-186

  26. Vitale V, Aderhold W, Hunter A, Iliopoulos I, Merry N (2008) Use of virtual metrology for in-situ visualization of thermal uniformity and handoff adjustment in RTP critical anneals. In: Advanced semiconductor manufacturing conference

  27. Yung-Cheng JC, Cheng F-T (2005) Application development of virtual metrology in semiconductor industry. In: 31st Annual Conference of IEEE Industrial Electronics Society, 2005. IECON 2005, p 6. https://doi.org/10.1109/IECON.2005.1568891

  28. Cheng CY, Fu HS, Wang YL, Cheng FT (2007) Method and system for virtual metrology in semiconductor manufacturing

  29. Diebold AC (1995) Overview of metrology requirements based on the 1994 national technology roadmap for semiconductors. In: Advanced semiconductor manufacturing conference & workshop

  30. Stanley KJ, Stanley TD, Maia J (2002) Realizing 300 mm fab productivity improvements through integrated metrology. In: Simulation conference

  31. Fan S-KS, Chang X-W, Lin Y-Y (2022) Product-to-product virtual metrology of color filter processes in panel industry. IEEE Trans Autom Sci Eng 19(4):3496–3507. https://doi.org/10.1109/TASE.2021.3124157

    Article  Google Scholar 

  32. Jen C-H, Fan S-KS, Lin Y-Y (2022) Data-driven virtual metrology and retraining systems for color filter processes of TFT-LCD manufacturing. IEEE Trans Instrument Measur 71:1–12. https://doi.org/10.1109/TIM.2022.3207807

  33. Cheng F-T, Chiu Y-C (2013) Applying the automatic virtual metrology system to obtain tube-to-tube control in a PECVD tool. IIE Trans 45(6):670–681. https://doi.org/10.1080/0740817X.2012.725507

    Article  Google Scholar 

  34. Zhang F, Jiang W, Wang H (2021) Virtual metrology for semiconductor chemical mechanical planarization process using wide & deep learning

  35. Cai H, Feng J, Yang Q, Li W, Lee J (2020) A virtual metrology method with prediction uncertainty based on gaussian process for chemical mechanical planarization. Comput Ind 119:103228

    Article  Google Scholar 

  36. Cai H, Feng J, Yang Q, Li F, Li X, Lee J (2021) Reference-based virtual metrology method with uncertainty evaluation for material removal rate prediction based on Gaussian process regression. Int J Adv Manufact Technol 1–13

  37. Cai H, Feng J, Zhu F, Yang Q, Lee J (2020) Adaptive virtual metrology method based on just-in-time reference and particle filter for semiconductor manufacturing. Measurement 168

  38. Roeder G, Winzer S, Schellenberger M, Jank S, Pfitzner L (2014) Feasibility evaluation of virtual metrology for the example of a trench etch process. IEEE Trans Semicond Manuf 27(3):327–334

    Article  Google Scholar 

  39. Su Y-C, Cheng F-T, Huang G-W, Hung M-H, Yang T (2004) A quality prognostics scheme for semiconductor and TFT-LCD manufacturing processes. In: 30th Annual Conference of IEEE Industrial Electronics Society, 2004. IECON 2004, 2:1972–19772. https://doi.org/10.1109/IECON.2004.1431887

  40. Kim B, Park K (2005) Modeling plasma etching process using a radial basis function network. Microelectron Eng 77(2):150–157

    Article  Google Scholar 

  41. Han D, Moon SB, PARK Kyungyoung KIM, Byungwhan Lee KK, Kim NJ (2005) Modelling of plasma etching process using radial basis function network and genetic algorithm. Vacuum 79(3):140–147

  42. Zeng D, Spanos CJ (2009) Virtual metrology modeling for plasma etch operations. IEEE Trans Semiconductor Manufact

  43. Lynn S, Ringwood J, Ragnoli E, Mcloone S, Macgearailty N (2009) Virtual metrology for plasma etch using tool variables. In: Advanced semiconductor manufacturing conference, 2009. ASMC ’09. IEEE/SEMI

  44. Lynn S, Ringwood JV, Macgearailt N (2010) Weighted windowed PLS models for virtual metrology of an industrial plasma etch process. In: Industrial Technology (ICIT), 2010 IEEE International conference on

  45. Lynn S, Ringwood J, Macgearailt N (2010) Gaussian process regression for virtual metrology of plasma etch. J Xian Univ Architect Technol 42–47

  46. Ringwood JV, Lynn S, Bacelli G, Ma B, Ragnoli E, Mcloone S (2010) Estimation and control in semiconductor etch: practice and possibilities. IEEE Trans Semicond Manuf 23(1):87–98

    Article  Google Scholar 

  47. Lynn SA, Macgearailt N, Ringwood JV (2012) Real-time virtual metrology and control for plasma etch. J Process Control 22(4):666–676

    Article  Google Scholar 

  48. Monahan KM (2005) Enabling DFM and APC strategies at the 32 nm technology node. In: Semiconductor Manufacturing, 2005. ISSM 2005, IEEE International symposium On

  49. Pan TH, Sheng BQ, Wong DS, Jang SS (2011) A virtual metrology system for predicting end-of-line electrical properties using a MANCOVA model with tools clustering. Ind Informatics, IEEE Trans 7(2):187–195

  50. Hsieh YM, Lu R, Lu JW, Cheng FT, Adnan M (2020) Automated classification scheme plus AVM for wafer sawing processes. IEEE Robot Automat Lett PP(99):1–1

  51. Khan AA, Moyne JR, Tilbury DM (2007) An approach for factory-wide control utilizing virtual metrology. IEEE Trans Semicond Manuf 20(4):364–375

    Article  Google Scholar 

  52. Khan AA, Moyne JR, Tilbury DM (2008) Virtual metrology and feedback control for semiconductor manufacturing processes using recursive partial least squares. J Process Control 18(10):961–974

    Article  Google Scholar 

  53. Moyne J, Schulze B (2010) Yield management enhanced advanced process control system (YMeAPC)–part i: Description and case study of feedback for optimized multiprocess control. Semiconductor Manufac IEEE Trans 23(2):221–235

  54. Yang HC, Tieng H, Cheng FT (2016) Automatic virtual metrology for wheel machining automation. Int J Prod Res 54(21):1–11

    Article  Google Scholar 

  55. Tieng H, Tsai TH, Chen CF, Yang HC, Huang JW, Cheng FT (2018) Automatic virtual metrology and deformation fusion scheme for engine-case manufacturing. IEEE Robot Automat Lett

  56. Yang HC, Adnan M, Huang CH, Cheng FT, Lo YL, Hsu CH (2019) An intelligent metrology architecture with AVM for metal additive manufacturing. IEEE Robot Automat Lett 4(3):2886–2893

  57. Hsieh Y-M, Lin C-Y, Yang Y-R, Hung M-H, Cheng F-T (2019) Automatic virtual metrology for carbon fiber manufacturing. IEEE Robot Automation Lett 4(3):2730–2737. https://doi.org/10.1109/LRA.2019.2917384

    Article  Google Scholar 

  58. Lim D-J, Kim S, Hwang U, Jeong S, Kim D, Kim Y (2023) Development of a virtual metrology system for smart manufacturing: a case study of spandex fiber production. Comput Ind 145:103825. https://doi.org/10.1016/j.compind.2022.103825

  59. Yeh L, Chen R Virtual metrology of visualizing copper microstructure featured with computer vision and artificial neural network. In: 2021 IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA)

  60. Tieng H, Yang H-C, Hung M-H, Cheng F-T (2013) A novel virtual metrology scheme for predicting machining precision of machine tools. In: 2013 IEEE International conference on robotics and automation, pp 264–269. https://doi.org/10.1109/ICRA.2013.6630586

  61. Hung MH, Lin YC, Huang HC, Hsieh MH, Cheng FT (2013) Development of an advanced manufacturing cloud for machine tool industry based on AVM technology. In: IEEE International conference on automation science & engineering

  62. Chen C-C, Lin Y-C, Hung M-H, Lin C-Y, Tsai Y-J, Chen M-S, Cheng F-T (2014) Development of auto-scaling cloud manufacturing framework for machine tool industry. In: 2014 IEEE International conference on automation science and engineering (CASE), pp 893–898. https://doi.org/10.1109/CoASE.2014.6899431

  63. Tieng H, Yang HC, Cheng FT (2015) Total precision inspection of machine tools with virtual metrology. In: 2015 IEEE International conference on automation science and engineering (CASE)

  64. Imai SI, Kitabata M (2009) Prevention of copper interconnection failure in system on chip using virtual metrology. IEEE Trans Semicond Manuf 22(4):432–437

    Article  Google Scholar 

  65. Lin LR, Chiu YC, Mo WC, Kao CA (2011) Run-to-run control utilizing the AVM system in the solar industry. In: International symposium on semiconductor manufacturing

  66. Tanaka T, Yasuda S (2013) Prediction and control of transistor threshold voltage by virtual metrology (virtual PCM) using equipment data. IEEE Trans Semicond Manuf 26(3):339–343

    Article  Google Scholar 

  67. Chen YZ (2020) Virtual metrology of semiconductor PVD process based on combination of tree-based ensemble model. ISA Trans 103(1)

  68. Huang YT, Cheng FT, Shih YH, Chen YL (2014) Advanced ART2 scheme for enhancing metrology-data-quality evaluation. J Chin Inst Eng 37(8):1064–1079

    Article  Google Scholar 

  69. Cheng F-T, Tieng H, Yang H-C, Hung M-H, Lin Y-C, Wei C-F, Shieh Z-Y (2016) Industry 4.1 for wheel machining automation. IEEE Robot Automat Lett 1(1):332–339. https://doi.org/10.1109/LRA.2016.2517208

  70. Chou PH, Wu MJ, Chen KK (2010) Integrating support vector machine and genetic algorithm to implement dynamic wafer quality prediction system. Expert Syst Appl 37(6):4413–4424

    Article  Google Scholar 

  71. Kang P, Kim D, Cho S (2014) Evaluating the reliability level of virtual metrology results for flexible process control: a novelty detection-based approach. Pattern Anal Appl 17(4):863–881

    Article  MathSciNet  Google Scholar 

  72. Kim D, Kang P, Lee SK, Kang S, Cho S (2015) Improvement of virtual metrology performance by removing metrology noises in a training dataset. Pattern Anal Appl 18(1):173–189

    Article  MathSciNet  Google Scholar 

  73. Huang YT, Cheng FT (2011) Automatic data quality evaluation for the AVM system. 24(3):445–454

  74. Tieng H, Chen CF, Cheng FT, Yang HC (2017) Automatic virtual metrology and target value adjustment for mass customization. IEEE Robot Automat Lett 2(2):546–553

    Article  Google Scholar 

  75. Park S, Seong J, Jang Y, Roh HJ, Kwon JW, Lee J, Ryu S, Song J, Roh KB, Noh Ya (2022) Plasma information-based virtual metrology (PI-VM) and mass production process control. J Korean Phys Soc (8):80

  76. Kwon J, Ryu S, Park J, Lee H, Kim G (2021) Development of virtual metrology using plasma information variables to predict Si etch profile processed by SF6/O2/Ar capacitively coupled plasma. Materials 14(11):3005

  77. Choi JE, Park H, Lee Y, Hong SJ (2022) Virtual metrology for etch profile in silicon trench etching with SF\(_6\)/O\(_2\)/Ar plasma. IEEE Trans Semicond Manuf 35(1):128–136. https://doi.org/10.1109/TSM.2021.3138918

    Article  Google Scholar 

  78. Chien KC, Chang CH, Djurdjanovic D (2021) Virtual metrology modeling of reactive ion etching based on statistics-based and dynamics-inspired spectral features. J Vacuum Sci Technol, B. Nanotechnol Microelectronics: Mater, Process, Measurement, Phenomena: =JVST B (6):39

  79. Kuhn M, Johnson K (2014) AppliedPredictiveModeling: Functions and data sets for ’applied predictive modeling’

  80. Kim D, Kang S (2019) Effect of irrelevant variables on faulty wafer detection in semiconductor manufacturing. Energies 12

  81. Lin TH, Cheng FT, Ye AJ, Wu WM, Hung MH (2008) A novel key-variable sifting algorithm for virtual metrology. In: 2008 IEEE International Conference on Robotics and Automation, ICRA 2008, May 19-23, 2008, Pasadena, California, USA

  82. Lin TH, Cheng FT, Wu WM, Kao CA, Ye AJ, Chang FC (2009) NN-based key-variable selection method for enhancing virtual metrology accuracy. IEEE Trans Semicond Manuf 22(1):204–211

    Article  Google Scholar 

  83. Wu WM, Cheng FT, Zeng DL, Lin TH, Chen J (2008) Developing a selection scheme for dual virtual-metrology outputs. In: IEEE International conference on automation science & engineering

  84. Wu WM, Cheng FT, Lin TH, Zeng DL, Chen JF (2011) Selection schemes of dual virtual-metrology outputs for enhancing prediction accuracy. IEEE Trans Automation Sci Eng 8(2):311–318

    Article  Google Scholar 

  85. Shim J, Kang S (2022) Domain-adaptive active learning for cost-effective virtual metrology modeling. Comput Ind 135:103572

    Article  Google Scholar 

  86. Wu WM (2012) Dynamic-moving-window scheme for virtual-metrology model refreshing. IEEE Trans Semicond Manuf 25(2):238–246

    Article  Google Scholar 

  87. Djedidi O, Clain R, Borodin V, Roussy A (2022) Feature selection for virtual metrology modeling: an application to chemical mechanical polishing. In: 2022 33rd Annual SEMI advanced semiconductor manufacturing conference (ASMC), pp 1–6. https://doi.org/10.1109/ASMC54647.2022.9792527

  88. Korabi TE, Borodin V, Juge M, Roussy A (2021) A hybrid feature selection approach for virtual metrology: application to CMP process. In: 2021 32nd Annual SEMI advanced semiconductor manufacturing conference (ASMC), pp 1–5. https://doi.org/10.1109/ASMC51741.2021.9435673

  89. Chen CF, Cheng FT, Wu CC, Huang HH (2014) Preliminary study of an intelligent sampling decision scheme for the AVM system. In: 2014 IEEE International conference on robotics and automation (ICRA)

  90. Shim J, Kang S, Cho S (2021) Active inspection for cost-effective fault prediction in manufacturing process. J Process Control 105:250–258

    Article  Google Scholar 

  91. Cheng FT, Chen CF, Hsieh YS, Huang HH, Wu CC (2015) Intelligent sampling decision scheme based on the AVM system. Int J Prod Res 53(7–8):2073–2088

    Article  Google Scholar 

  92. Hsieh YS, Cheng FT, Chen CF, Lyu JR, Lin TY (2015) Dynamic ISD scheme for the AVM system - a preliminary study. In: IEEE International conference on robotics & automation, pp 2060–2065

  93. Cheng FT, Hsieh YS, Chen CF, Lyu JR (2016) Automated sampling decision scheme for the AVM system. Int J Prod Res 54(21–22):6351–6366

    Article  Google Scholar 

  94. Kurz D, De Luca C, Pilz J (2014) A sampling decision system for virtual metrology in semiconductor manufacturing. IEEE Trans Automat Sci Eng 12(1):75–83

    Article  Google Scholar 

  95. Tin TC, Tan SC, Yong H, Kim JOH, Teo EKY, Wong JCY, Lee CK, Than P, Tan APS, Phang SC (2021) The implementation of a smart sampling scheme C2O utilizing virtual metrology in semiconductor manufacturing. IEEE Access 9:114255–114266

  96. Nguyen C, Li X, Blanton S, Li X (2020) Efficient classification via partial co-training for virtual metrology. In: 2020 25th IEEE International conference on emerging technologies and factory automation (ETFA) 1:753–760. https://doi.org/10.1109/ETFA46521.2020.9212012

  97. Yuan X, Jia Z, Li L, Wang K, Ye L, Wang Y, Yang C, Gui W (2022) A SIA-LSTM based virtual metrology for quality variables in irregular sampled time sequence of industrial processes. Chem Eng Sci 249

  98. Lee KB, Cheon S, Kim CO (2017) A convolutional neural network for fault classification and diagnosis in semiconductor manufacturing processes. IEEE Trans Semicond Manuf 30(2):135–142

    Article  Google Scholar 

  99. Wen G, Gao Z, Cai Q, Wang Y, Mei S (2020) A novel method based on deep convolutional neural networks for wafer semiconductor surface defect inspection. IEEE Trans Instrument Measure PP(99):1–1

  100. Maggipinto M, Terzi M, Masiero C, Beghi A, Susto GA (2018) A computer vision-inspired deep learning architecture for virtual metrology modeling with 2-dimensional data. IEEE Trans Semiconductor Manufact PP(99):1–1

  101. Saqlain M, Abbas Q, Lee JY (2020) A deep convolutional neural network for wafer defect identification on an imbalanced dataset in semiconductor manufacturing processes. IEEE Trans Semiconductor Manufact PP(99):1–1

  102. Shao HC, Peng CY, Wu JR, Lin CW, Fang SY, Tsai PY, Liu YH (2021) From IC layout to die photograph: a CNN-based data-driven approach. IEEE Trans Computer-Aided Design Integrated Circuit Syst (5)

  103. Rumelhart DE, Hinton GE, Williams RJ (1986) Learning representations by back propagating errors. Nature 323(6088):533–536

    Article  Google Scholar 

  104. Lin TH, Hung MH, Lin RC, Cheng FT (2006) A virtual metrology scheme for predicting CVD thickness in semiconductor manufacturing. In: Robotics and Automation, 2006. ICRA 2006. Proceedings 2006 IEEE International conference on

  105. Su YC, Lin TH, Cheng FT, Wu WM (1980) Implementation considerations of various virtual metrology algorithms. In: Automation Science and Engineering, 2007 IEEE International conference on

  106. Su YC, Lin TH, Cheng FT, Wu WM (2008) Accuracy and real-time considerations for implementing various virtual metrology algorithms. IEEE Trans Semicond Manuf 21(3):426–434

    Article  Google Scholar 

  107. Susto GA, Pampuri S, Schirru A, Beghi A, Nicolao GD (2015) Multi-step virtual metrology for semiconductor manufacturing: a multilevel and regularization methods-based approach. Comput Operations Res 53(jan.):328–337

  108. Hsieh Y-M, Wang T-J, Lin C-Y, Peng L-H, Cheng F-T, Shang S-Y (2021) Convolutional neural networks for automatic virtual metrology. IEEE Robot Automation Lett 6(3):5720–5727. https://doi.org/10.1109/LRA.2021.3084882

    Article  Google Scholar 

  109. Tin TC, Tan SC, Lee CK (2022) Virtual metrology in semiconductor fabrication foundry using deep learning neural networks. IEEE Access 10:81960–81973. https://doi.org/10.1109/ACCESS.2022.3193783

  110. Clain R, Borodin V, Juge M, Roussy A Virtual metrology for semiconductor manufacturing: focus on transfer learning. In: 2021 IEEE 17th International conference on automation science and engineering (CASE)

  111. Hsieh Y-M, Wang T-J, Lin C-Y, Tsai Y-F, Cheng F-T (2022) Convolutional autoencoder and transfer learning for automatic virtual metrology. IEEE Robot Automation Lett 7(3):8423–8430. https://doi.org/10.1109/LRA.2022.3187617

    Article  Google Scholar 

  112. Choi J, Jeong MK (2018) Deep autoencoder with clipping fusion regularization on multistep process signals for virtual metrology. Electronics Lett

  113. Niu S, Liu Y, Wang J, Song H (2020) A decade survey of transfer learning (2010–2020)

  114. Lang CI, Sun F-K, Veerasingam R, Yamartino J, Boning DS (2022) Understanding and improving virtual metrology systems using Bayesian methods. IEEE Trans Semicond Manuf 35(3):511–521. https://doi.org/10.1109/TSM.2022.3170270

    Article  Google Scholar 

  115. Nguyen C, Li X, Blanton S, Li X (2023) Correlated Bayesian co-training for virtual metrology. IEEE Trans Semicond Manuf 36(1):28–36. https://doi.org/10.1109/TSM.2022.3217350

    Article  Google Scholar 

  116. Zhou T, Diao X, Jiang Y, Wen S, Shi X, Jing Q, Li C (2022) Virtual metrology of WAT value with machine learning based method. In: 2022 China semiconductor technology international conference (CSTIC), pp 1–2. https://doi.org/10.1109/CSTIC55103.2022.9856736

  117. Chen YZ (2020) Virtual metrology of semiconductor PVD process based on combination of tree-based ensemble model. ISA Trans 103(1)

  118. Hung MH, Tsai WH, Yang HC, Kao YJ, Cheng FT (2012) A novel automatic virtual metrology system architecture for TFT-LCD industry based on main memory database. Robot Comput Integrated Manuf 28(4):559–568

    Article  Google Scholar 

  119. A structure data-driven framework for virtual metrology modeling. Current Organic Synthesis 17(3) (2020)

  120. Schueler S, Hartig C, Torres A, Kissiov I, Gardner R, Mohamed E, Jayaram S (2021) Virtual metrology: how to build the bridge between the different data sources 11611. https://doi.org/10.1117/12.2588467

  121. Xu H-W, Qin W, Lv Y-L, Zhang J (2022) Data-driven adaptive virtual metrology for yield prediction in multibatch wafers. IEEE Trans Industr Inf 18(12):9008–9016. https://doi.org/10.1109/TII.2022.3162268

    Article  Google Scholar 

  122. Hung MH, Chen CF, Lin YC, Chou MY, Cheng FT (2012) Refinement of kernel and functional mechanisms for automatic virtual metrology system. In: IEEE/ASME International conference on advanced intelligent mechatronics

  123. Kao CA, Cheng FT, Wu WM, Kong FW, Huang HH (2013) Run-to-run control utilizing virtual metrology with reliance index. IEEE Trans Semicond Manuf 26(1):69–81

    Article  Google Scholar 

  124. Yang HC, Tieng H, Li YY, Hung MH, Cheng FT (2012) A virtual-metrology-based machining state conjecture system. In: Advanced Intelligent Mechatronics (AIM), 2012 IEEE/ASME International conference on

  125. Hsieh YS, Cheng FT, Yang HC (2012) Virtual-metrology-based FDC scheme. In: Automation Science and Engineering (CASE), 2012 IEEE International conference on

  126. Hsieh YS, Cheng FT, Huang HC, Wang CR, Wang SC, Yang HC (2013) VM-based baseline predictive maintenance scheme. IEEE Trans Semicond Manuf 26(1):132–144

    Article  Google Scholar 

  127. Fan SK, Chang YJ (2013) An integrated advanced process control framework using run-to-run control, virtual metrology and fault detection - sciencedirect. J Process Control 23(7):933–942

  128. Drath R, Horch A (1932) Industrie 4.0: hit or hype? [industry forum]. IEEE Industrial Electronics Mag 8(2):56–58

  129. James T (2012) Smart factories. Engineering & Technology. 7(6):64–67

    Article  Google Scholar 

  130. Hung MH, Lin YC, Huang HC, Tu CC, Cheng FT (2014) Development of a private cloud-based new-generation virtual metrology system. In: 2014 IEEE International Conference on Automation Science and Engineering (CASE) (2014)

  131. Huang HC, Lin YC, Hung MH, Tu CC, Cheng FT (2015) Development of cloud-based automatic virtual metrology system for semiconductor industry. Robot Comput Integrated Manuf 34(aug.):30–43

  132. Hung MH, Li YY, Lin YC, Wei CF, Yang HC, Cheng FT (2017) Development of a novel cloud-based multi-tenant model creation service for automatic virtual metrology. Robot Comput Integrated Manuf: Int J Manuf Product Process Develop

  133. Harika N, Dishant G, Nitesh E, Praveen G Development of Advanced Manufacturing Cloud of Things (AMCoT)—a smart manufacturing platform. In: 2018 IEEE International Conference on Smart Cloud

Download references

Funding

This work is supported by the National Natural Science Foundation of China under grants 72171172 and 62088101; Shanghai Municipal Science and Technology, China Major Project under grant 2021SHZDZX0100; and Shanghai Municipal Commission of Science and Technology, China Project under grant 19511132101.

Author information

Authors and Affiliations

Authors

Contributions

All authors contributed to the study conception and design. Material preparation, data collection, and analysis were performed by YZ. Investigation and funding acquisition were performed by LL. Project administration and resource scheduling were performed by QY. The first draft of the manuscript was mostly written by YZ, and all authors commented on previous versions of the manuscript. All authors read and approved the final manuscript.

Corresponding author

Correspondence to Qingyun Yu.

Ethics declarations

Ethics approval

Not applicable

Consent to participate

Not applicable

Consent for publication

The authors agree to publication in the International Journal of Advanced Manufacturing Technology.

Conflict of interest

The authors declare no competing interests.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, Y., Li, L. & Yu, Q. Virtual metrology for enabling zero-defect manufacturing: a review and prospects. Int J Adv Manuf Technol 130, 3211–3227 (2024). https://doi.org/10.1007/s00170-023-12726-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00170-023-12726-x

Keywords

Navigation