Skip to main content
Log in

Research on weakly alkaline bulk slurries relevant to chemical mechanical polishing for cobalt interconnects

  • ORIGINAL ARTICLE
  • Published:
The International Journal of Advanced Manufacturing Technology Aims and scope Submit manuscript

Abstract

Recently, the technology node shrunk below 7 nm and beyond; cobalt (Co) and its low resistivity, superior adhesion property, and excellent electro-migration performance pledge to transform the integrated circuits’ landscape in several areas, especially in interconnects and logic contacts. The present work proposes a weakly alkaline polishing slurry for Co interconnects, mainly consisting of colloidal silica, hydrogen peroxide, glycine, and 1,2,4-triazole at pH 8.1. The feature of tunable high material removal rate (greater than 1000–2000 Å/min) and fitting removal selectivity (~ 160) between Co and oxide dielectric could be realized by a moderately variable concentration of glycine complexing agent. Moreover, several analytical techniques, including electrochemical analysis, X-ray photoelectron spectroscopy survey, and adsorption isotherm characterization, were used to understand the interaction mechanism during Co material removal process. The results reveal that 1,2,4-triazole could adsorb on the Co oxide layers via physisorption, achieving an inhibition effect to avoid Co excessive corrosion. Furthermore, the oxidization of hydrogen peroxide, the complexation of glycine zwitterions, and the abrasive mechanical action are critical to maintaining the appropriate material removal rate. Based on the results with research-level wafers, the optimized Co bulk slurry was ultimately applied to the production-level of Co and oxide dielectric wafers. The residual particle, haze condition, and surface profile after polishing have been researched simultaneously.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

Data availability

The datasets generated and/or analyzed during the current study are available from the first author on reasonable request.

References

  1. Nogami T, Maniscalco J, Madan A, Flaitz P, DeHaven P, Parks C, Tai L, Lawrence B, Davis R, Murphy R, Shaw T, Cohen S, Hu C-K, Cabral C, Chiang S, Kelly J, Zaitz M, Schmatz J, Choi S, Tsumura K, Penny C, Chen H-C, Canaperi D, Vo T, Ito F, Straten O, Simon A, Rhee S-H, Kim B-Y, Bolom T, Ryan V, Ma P, Ren J, Aubuchon J, Fine J, Kozlowski P, Spooner T, Edelstein D (2010) CVD Co and its application to Cu damascene interconnections. In 2010 IEEE Int Interconnect Technol Conf. IEEE, pp 1–3

  2. Bekiaris N, Wu Z, Ren H, Naik M, Park JH, Lee M, Ha T-H, Hou W, Bakke J, Gage M, Wang Y, Tang J (2017) Cobalt fill for advanced interconnects. In 2017 IEEE Int Interconnect Technol Conf (IITC). IEEE, pp 1–3

  3. He M, Zhang X, Nogami T, Lin X, Kelly J, Kim H, Spooner T, Edelstein D, Zhao L (2013) Mechanism of Co liner as enhancement layer for Cu interconnect gap-fill. J Electrochem Soc 160(12):D3040

    Article  Google Scholar 

  4. Josell D, Brongersma SH, Tőkei Z (2009) Size-dependent resistivity in nanoscale interconnects. Annu Rev Mater Res 39:231–254

    Article  Google Scholar 

  5. Kelly J, Chen JC, Huang H, Hu CK, Liniger E, Patlolla R, Peethala B, Adusumilli P, Shobha H, Nogami T, Spooner T, Huang E, Edelstein D, Canaperi D, Kamineni V, Siddiqui S (2016) Experimental study of nanoscale Co damascene BEOL interconnect structures. In 2016 IEEE Int Interconnect Technol Conf/Adv Metallization Conf (IITC/AMC). IEEE, pp 40–42

  6. Pedreira OV, Croes K, Leśniewska A, Wu C, Van Der Veen MH, De Messemaeker J, Vandersmissen K, Jourdan N, Wen LG, Adelmann C, Briggs B, Gonzalez VV, Bömmels J, Tőkei Z (2017) Reliability study on cobalt and ruthenium as alternative metals for advanced interconnects. In 2017 IEEE Int Reliab Phys Symp (IRPS) 6B-2. IEEE

  7. Mont FW, Zhang X, Wang W, Kelly JJ, Standaert TE, Quon R, Ryan ET (2017) Cobalt interconnect on same copper barrier process integration at the 7nm node. In 2017 IEEE Int Interconnect Technol Conf (IITC) 1–3. IEEE

  8. Hosseini M, Koike J, Sutou Y, Zhao L, Lai S, Arghavani R (2016) Amorphous Co-Ti alloy as a single layer barrier for Co local interconnect structure. In 2016 IEEE Int Interconnect Technol Conf/Adv Metallization Conf (IITC/AMC) 162–164. IEEE

  9. Fan SSC, Chen JHC, Kamineni VK, Zhang X, Raymond M, Labelle C (2017) Middle of line RC performance study at the 7 nm node. In 2017 IEEE Int Interconnect Technol Conf (IITC) 1–3. IEEE

  10. Andricacos PC, Uzoh C, Dukovic JO, Horkans J, Deligianni H (1998) Damascene copper electroplating for chip interconnections. IBM J Res Dev 42(5):567–574

    Article  Google Scholar 

  11. Shi X, Rose J, Clore TJ, Schlueter JA, Grief M, O'Neill ML (2017) Chemical mechanical polishing (CMP) of cobalt-containing substrate. US20170362466A1

  12. Wu C, Han J-H, Shi X et al (2017) Cobalt CMP development for 7nm logic device. ECS Trans 77(5):93–97

    Article  Google Scholar 

  13. Yair EE, David S, Esta A, Eugene R (2004) Copper CMP slurry composition. US, US7964005 B2

  14. Zhang L, Wang T, Lu X (2020) Potassium persulfate as an oxidizer in chemical mechanical polishing slurries relevant for copper interconnects with cobalt barrier layers. J Mater Sci 55(21):8992–9002

    Article  Google Scholar 

  15. Nishizawa H, Nojo H, Isobe A (2010) Fundamental study of chemical-mechanical polishing slurry of cobalt barrier metal for the next-generation interconnect process. Jpn J Appl Phys 49(5S2):05FC03

    Article  Google Scholar 

  16. Peethala BC, Amanapu HP, Lagudu URK, Babu SV (2012) Cobalt polishing with reduced galvanic corrosion at copper/cobalt interface using hydrogen peroxide as an oxidizer in colloidal silica-based slurries. J Electrochem Soc 159(6):H582

    Article  Google Scholar 

  17. Sagi KV, Teugels LG, Van Der Veen MH, Struyf H, Alety SR, Babu SV (2017) Chemical mechanical polishing of chemical vapor deposited Co films with minimal corrosion in the Cu/Co/Mn/SiCOH patterned structures. ECS J Solid State Sci Technol 6(5):P276

    Article  Google Scholar 

  18. He P, Wu B, Shao S, Teng T, Wang P, Qu XP (2019) Characterization of 1, 2, 4-triazole as corrosion inhibitor for chemical mechanical polishing of cobalt in H2O2 based acid slurry. ECS J Solid State Sci Technol 8(5):P3075

    Article  Google Scholar 

  19. Lu HS, Wang JX, Zeng X, Chen F, Zhang XM, Zhang WJ, Qu XP (2012) The effect of H2O2 and 2-MT on the chemical mechanical polishing of cobalt adhesion layer in acid slurry. Electrochem Solid-State Lett 15(4):H97

    Article  Google Scholar 

  20. Sikma E, Paw W, Petro B, Cross J, Whitener G (2018) Slurry for chemical mechanical polishing of cobalt. U.S. Patent No. 9,944,828. Washington, DC: U.S. Patent and Trademark Office

  21. Kraft S, Wolff A, Carter PW, Hayes K, Petro B (2016) Cobalt polishing accelerators. WO 2016065060 A1

  22. Jiang L, He Y, Li Y, Li Y, Luo J (2014) Synergetic effect of H2O2 and glycine on cobalt CMP in weakly alkaline slurry. Microelectron Eng 122:82–86

    Article  Google Scholar 

  23. Ranaweera CK, Baradanahalli NK, Popuri R, Seo J, Babu SV (2018) Ammonium persulfate and potassium oleate containing silica dispersions for chemical mechanical polishing for cobalt interconnect applications. ECS J Solid State Sci Technol 8(5):P3001

    Article  Google Scholar 

  24. Foelske A, Strehblow HH (2000) Passivity of cobalt in borate buffer at pH 9.3 studied by x-ray photoelectron spectroscopy. Surface and Interface Analysis: An International Journal devoted to the development and application of techniques for the analysis of surfaces, interfaces and thin films, 29(8):548–555

  25. Sun Y, Liu C, Grauer DC, Yano J, Long JR, Yang P, Chang CJ (2013) Electrodeposited cobalt-sulfide catalyst for electrochemical and photoelectrochemical hydrogen generation from water. J Am Chem Soc 135(47):17699–17702

    Article  Google Scholar 

  26. Ismail KM, Badawy WA (2000) Electrochemical and XPS investigations of cobalt in KOH solutions. J Appl Electrochem 30(11):1303–1311

    Article  Google Scholar 

  27. Burke LD, Murphy OJ (1980) Electrochromic behaviour of oxide films grown on cobalt and manganese in base. J Electroanal Chem Interfacial Electrochem 109(1–3):373–377

    Article  Google Scholar 

  28. Crist BV (2000) Handbook of monochromatic XPS spectra: the elements of native oxides. John Wiley & Sons

    Google Scholar 

  29. Durnie W, De Marco R, Jefferson A, Kinsella B (1999) Development of a structure-activity relationship for oil field corrosion inhibitors. J Electrochem Soc 146(5):1751

    Article  Google Scholar 

  30. Hosseini M, Mertens SF, Ghorbani M, Arshadi MR (2003) Asymmetrical Schiff bases as inhibitors of mild steel corrosion in sulphuric acid media. Mater Chem Phys 78(3):800–808

    Article  Google Scholar 

  31. Badawy WA, Al-Kharafi FM, Al-Ajmi JR (2000) Electrochemical behaviour of cobalt in aqueous solutions of different pH. J Appl Electrochem 30(6):693–704

    Article  Google Scholar 

  32. Aksu S, Doyle FM (2001) Electrochemistry of copper in aqueous glycine solutions. J Electrochem Soc 148(1):B51

    Article  Google Scholar 

Download references

Acknowledgements

The authors are thankful to the editors and reviewers.

Author information

Authors and Affiliations

Authors

Contributions

Lifei Zhang contributed to the study conception, design, material preparation, data collection and data analysis. The first draft of the manuscript was written by Lifei Zhang, and all authors commented on previous versions of the manuscript. All authors read and approved the final manuscript.

Corresponding author

Correspondence to Xinchun Lu.

Ethics declarations

Consent for publication

Written informed consent for publication was obtained from all participants.

Conflict of interest

The authors declare no competing interests.

Additional information

Publisher's note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, L., Wang, T. & Lu, X. Research on weakly alkaline bulk slurries relevant to chemical mechanical polishing for cobalt interconnects. Int J Adv Manuf Technol 125, 4549–4559 (2023). https://doi.org/10.1007/s00170-023-10824-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00170-023-10824-4

Keywords

Navigation