Skip to main content
Log in

A 1 μs Locking Time Dual Loop ADPLL with Foreground Calibration-Based 6 ps Resolution Flash TDC in 180 nm CMOS

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

In this work, a dual loop all-digital phase locked loop (ADPLL) is designed to obtain a fast locking, low power and low jitter for SoC and battery-operated applications. The high speed and high-resolution 4-bit flash time to digital converter (TDC) is also proposed to achieve low jitter and fast locking in ADPLL. The flash TDC uses a foreground calibration to make the ADPLL work robustly over PVT variations. In present work, fasting settling time of 1 μs and low power is achieved for proposed ADPLL owing to flash-based TDC and dual loop architecture. The proposed 4-bit flash TDC achieves a resolution of 6 ps. A low-phase noise voltage-controlled oscillator based on inverters is designed to obtain a reduced jitter in ADPLL. The ADPLL is implemented in a 180-nm SCL digital CMOS technology. The achieved phase noise of proposed ADPLL is − 128.2 dBc/Hz at an offset of 100 MHz. At an output frequency of 1.6 GHz, the periodic jitter of ADPLL is 7.8 ps, and power consumption is 6.5 mW.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig.10
Fig. 11
Fig. 12

Similar content being viewed by others

Data Availability

Data sharing not applicable to this article as no datasets were generated or analysed during the current study.

References

  1. J. Du, T. Siriburanon, Y. Hu, V. Govindaraj, R.B. Staszewski, A 2.0–2.87GHz -249dB FoM 1.1 mW digital PLL Ex-ploiting reference-sampling phase detector. IEEE Solid-State Circuits Lett. (SSC-L) 3, 158–161 (2020). https://doi.org/10.1109/LSSC.2020.3008298

    Article  Google Scholar 

  2. N. Pourmousavian, F.-W. Kuo, T. Siriburanon, M. Babaie, R.B. Staszewski, A 0.5-V 1.6-mW 2.4-GHz fractional-N all digital PLL for bluetooth LE with PVT-insensitive TDC using switched-capacitor doubler in 28-nm CMOS. IEEE J Solid-State Circuits (JSSC) 53(9), 2572–2583 (2018). https://doi.org/10.1109/JSSC.2018.2843337

    Article  Google Scholar 

  3. R.B. Staszewski, K. Waheed, F. Dulger, O. Eliezer, Spur-free multirate all-digital PLL for mobile phones in 65nm CMOS. IEEE J. Solid-State Circuits (JSSC) 46(12), 2904–2919 (2011). https://doi.org/10.1109/JSSC.2011.2162769

    Article  Google Scholar 

  4. Z. Song, B. Chi, Two 180 nm CMOS wireless trans-receivers for IoT applications. in URSI Asia-Pacific Radio Science Conf. (URSI AP-RASC), Seoul, South Korea (2016), pp. 1000–1002. https://doi.org/10.1109/URSIAP-RASC.2016.7601311

  5. Roland E. Best, Phase-Locked Loops (McGraw Hill Professional engineering, 2003).

  6. B. Razavi,Phase-locked loops. in Design of Analog CMOS Integrated Circuit (McGraw Hill education private limited, pp. 532–576, 2002).

  7. B. Razavi, Design of CMOS Phase-Locked Loops from Circuit Level to Architecture Level (Cambridge University Press, Cambridge, 2020)

    Book  Google Scholar 

  8. R. Su, S. Lanzisera, K.S.J. Pister, A 2.6 ps rms-period-jitter 900 MHz all-digital fractional-N PLL built with standard cells. in 37th European Solid-State Circuits ESSCIRC, (2011), pp. 458–45. https://doi.org/10.1109/ESSCIRC.2011.6045005

  9. W. Deng, D. Yang, T. Ueno, T. Siriburanon, S. Kondo, K. Okada, A. Matsuzawa, A fully synthesizable all-digital PLL with interpolative phase coupled oscillator, current-output DAC, and fine-resolution digital varactor using gated edge injection technique. IEEE J. Solid-State Circuits 50(1), 68–80 (2015). https://doi.org/10.1109/JSSC.2014.2348311

    Article  Google Scholar 

  10. Y. He, Y.-H. Liu, T. Kuramochi, J. van den Heuve, B. Busze, N. Markulic, C. Bachmann, K. Philips, A 673 μW 1.8-to-2.5GHz divider-less fractional-N digital PLL with an inherent frequency-capture capability and a phase-dithering spur mitigation for IoT applications. in IEEE International conference on Solid-State Circuits, (San Francisco, USA, 2017) pp. 420–423. https://doi.org/10.1109/ISSCC.2017.7870440

  11. Y.H. Ho, C.Y. Yao, A low-jitter fast-locked all-digital phase-locked loop with phase frequency-error compensation. IEEE Trans Very Large-Scale Integr Syst (VLSI) 24(5), 1984–1992 (2016). https://doi.org/10.1109/TVLSI.2015.2470545

    Article  Google Scholar 

  12. C.H. Jeong, K.Y. Kim, C.K. Kwon, H. Kim, S.-W. Kim, Digital calibration technique using a signed counter for charge pump mismatch in phase-locked loops. IET Circuits Devices Syst. 7(6), 313–318 (2013). https://doi.org/10.1049/iet-cds.2013.0011

    Article  Google Scholar 

  13. S. Lloyd, Least squares quantization in PCM. IEEE Trans. Inf. Theory. 28(2), 129–137 (1982). https://doi.org/10.1109/TIT.1982.1056489

    Article  MathSciNet  MATH  Google Scholar 

  14. S. Henzler, Time-to-Digital Converters (Springer, New York, 2010). https://doi.org/10.1007/978-90-481-8628-0

    Book  Google Scholar 

  15. J.K. Sahani, A. Singh, A. Agarwal, A high resolution and low jitter 5-bit flash TDC architecture for high speed intelligent systems. in International Conference Intelligent Systems, (London, UK, 2019), pp. 266–275. https://doi.org/10.1007/978-3-030-29516-5_20

  16. T. Seong, Y. Lee, S. Yoo, J.Y. Choi, A -242dB FOM and reference-Spur -75dBc-ring-DCO-based all-digital PLL using a fast phase-error correction technique and a low-power optimal-threshold TDC. in International Solid-State Circuits IEEE, (San Francisco, USA, 2018), pp. 396–398. https://doi.org/10.1109/ISSCC.2018.8310351

  17. J.K. Sahani, A. Singh, A. Agarwal, A fast locking and low jitter hybrid ADPLL architecture with bang bang PFD and PVT calibrated flash TDC. AEU-Int. J. Electron. Commun. 124, 153344–1–153344–10 (2020). https://doi.org/10.1016/j.aeue.2020.153344

  18. K.O. Ragab, H. Mostafa, A. Eladawy, A novel 10-Bit 2.8-mW TDC design using SAR with continuous disassembly algorithm. IEEE Trans. Circuits Syst. II Express Briefs 63(10), 909–913 (2016). https://doi.org/10.1109/TCSII.2016.2536238

    Article  Google Scholar 

  19. D. Sharma, R. Mehra, L. Power, Delay optimized buffer design using 70 nm CMOS technology. Int. J. Comput. Appl. 22(3), 13–18 (2011). https://doi.org/10.5120/2565-3526

    Article  Google Scholar 

  20. M. Floyd, Gardner, charge-pump phase-lock loops. IEEE Trans. Commun. 28(11), 1849–1858 (1980). https://doi.org/10.1109/TCOM.1980.1094619

    Article  Google Scholar 

  21. M. Mercandelli, L. Grimaldi, L. Bertulessi, C. Samori, A.L. Lacaita, S. Levantino, A background calibration technique to control the bandwidth of digital PLLs. IEEE J. Solid-State Circuits 53(11), 3243–3255 (2018). https://doi.org/10.1109/ISSCC.2014.6757335

    Article  Google Scholar 

  22. W. Namgoong, A modified proportional-integral loop filter to suppress DCO noise in digital PLL. IEEE Trans Circuits Syst II: Express Briefs. 65(8), 974–978 (2018). https://doi.org/10.1109/TCSII.2018.2794821

    Article  Google Scholar 

  23. A. Asad, Abidi, phase noise and jitter in CMOS ring oscillators. IEEE J. Solid-State Circuits 41(8), 1803–1816 (2006). https://doi.org/10.1109/JSSC.2006.876206

    Article  Google Scholar 

  24. F.U. Rahman, G. Taylor, V. Sathe, A 1–2 GHz computational-locking ADPLL withsub-20-cycle lock time across PVT variation. IEEE J. Solid-State Circuits 54(9), 2487–2500 (2019). https://doi.org/10.1109/JSSC.2019.2926191

    Article  Google Scholar 

  25. L. Wang, A Nunez, An automatic evaluation of phase noise on CMOS rings VCOs. in 48th Midwest Symposium on Circuits and Systems, Covington, (KY, USA, 2005), pp.1569–1572. https://doi.org/10.1109/MWSCAS.2005.1594415

  26. Y. Qiu, L. Zhao, F. Zhang, Design of 0.35-ps RMS Jitter 4.4–5.6-GHz frequency synthesizer with adaptive frequency calibration using 55-nm CMOS technology. Circuits Syst. Signal Process 37(4), 1479–1504 (2018). https://doi.org/10.1007/s00034-017-0645-z

    Article  MathSciNet  Google Scholar 

  27. J. Wu, Z. Wang, C. Chen, C. Huang, M. Zhang, A 2.4-GHz all-digital PLL with a 1-ps resolution 0.9-mW edge-interchanging-based stochastic TDC. IEEE Trans. Circuits Syst. II Express Briefs 62(10), 917–921 (2015). https://doi.org/10.1109/TCSII.2015.2457792

    Article  Google Scholar 

  28. N. Yan, L. Ma, Y.X. Xu, S. Chen, X. Liu, J. Xiang, H. Min, A low power all-digital PLL with−40 dBc in-band fractional spur suppression for NB-IoT applications. IEEE Access 4, 7897–7904 (2019). https://doi.org/10.1109/ACCESS.2018.2890073

    Article  Google Scholar 

  29. W.B. Yang, H.H. Wang, H.I. Chang, Y.L. Lo, A fast-locking all-digital PLL with dynamic loop gain control and phase self-alignment mechanism for sub-GHz IoT applications. Jpn. Soc. Appl. Phys. 59, 1–29 (2020). https://doi.org/10.1109/TCSI.2015.2477575

    Article  Google Scholar 

  30. D. De Caro, G. Di Meo, E. Napoli, N. Petra, A.G. Maria Strollo, 1.45 GHz all-digital spread spectrum clock generators in 65 nm CMOS for synchronization-free SoC applications. IEEE Trans Circuits Syst I Regul Pap 67(11), 3839–3852 (2020). https://doi.org/10.1109/TCSI.2020.3008481

    Article  Google Scholar 

Download references

Acknowledgements

The SMDP-VLSI (Phase-III) provides a financial aid for execution of project. The project is being acknowledged gratefully.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Anil Singh.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sahani, J.K., Singh, A. & Agarwal, A. A 1 μs Locking Time Dual Loop ADPLL with Foreground Calibration-Based 6 ps Resolution Flash TDC in 180 nm CMOS. Circuits Syst Signal Process 41, 1299–1323 (2022). https://doi.org/10.1007/s00034-021-01861-z

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-021-01861-z

Keywords

Navigation