Skip to main content
Log in

Single-Ended Boost-Less (SE-BL) 7T Process Tolerant SRAM Design in Sub-threshold Regime for Ultra-Low-Power Applications

  • Published:
Circuits, Systems, and Signal Processing Aims and scope Submit manuscript

Abstract

A novel single-ended boost-less 7T static random access memory cell with high write-ability and reduced read failure is proposed. Proposed 7T cell utilizes dynamic feedback cutting during write/read operation. The 7T also uses dynamic read decoupling during read operation to reduce the read disturb. Proposed 7T writes “1” through one NMOS and writes “0” using two NMOS pass transistors. The 7T has mean \((\mu )\) of 222.3 mV (74.1 % of supply voltage) for write trip point where 5T fails to write “1” at 300 mV. It gives mean \((\mu )\) of 276 mV (92 % of supply voltage) for read margin, while 5T fails due to read disturb at 300 mV. The hold static noise margin of 7T is maintained close to that of 5T. The read operation of 7T is 22.5 % faster than 5T and saves 10.8 % read power consumption. It saves 36.9 % read and 50 % write power consumption as compared to conventional 6T. The novel design of proposed 7T consumes least read power and achieves the lowest standard deviation as compared to other reported SRAM cells. The power consumption of 1 kb 7T SRAM array during read and write operations is 0.70\(\times \) and 0.65\(\times \), respectively, of 1 kb 6T array. The techniques used by the proposed 7T SRAM cell allow it to operate at ultra-low-voltage supply without any write assist in UMC 90 nm technology node. Future applications of the proposed 7T cell can potentially be in low-voltage, ultra-low-voltage and medium-frequency operations like neural signal processor, sub-threshold processor, wide-operating-range IA-32 processor, FFT core and low-voltage cache operation.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19

Similar content being viewed by others

References

  1. A. Bhavnagarwala, X. Tang, J. Meindl, The impact of intrinsic device fluctuations on CMOS SRAM cell stability. IEEE J. Solid-State Circuits 36(4), 658–665 (2001)

    Article  Google Scholar 

  2. B.H. Calhoun, A.P. Chandrakasan, A 256-kb 65-nm sub-threshold SRAM design for ultra-low-voltage operation. IEEE J. Solid-State Circuits 42(3), 680–688 (2007)

    Article  Google Scholar 

  3. I. Carlson, S. Andersson, S. Natarajan, A. Alvandpour, A high density, low leakage, 5T SRAM for embedded caches, in Proceedings of 30th European Solid State Circuits Conference, pp. 215–218, 2004

  4. I.J. Chang, J.-J. Kim, S.P. Park, K. Roy, A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J. Solid-State Circuits 44(2), 650–658 (2009)

    Article  Google Scholar 

  5. M.-F. Chang, S.-W. Chang, P.-W. Chou, W.-C. Wu, A 130 mV SRAM with expanded write and read margins for sub-threshold applications. IEEE J. Solid-State Circuits 46(2), 520–529 (2011)

    Article  Google Scholar 

  6. B. Cheng, S. Roy, A. Asenov, The impact of random doping effects on CMOS SRAM cell, in Proceedings 30th European Solid-State Circuits Conference (ESSCIRC), Belgium, pp. 219–222, 2004

  7. S. Cosemans, W. Dehaene, F. Catthoor, A low-power embedded SRAM for wireless applications. IEEE J. Solid-State Circuits 42(7), 1607–1617 (2007)

    Article  Google Scholar 

  8. R. Gonzalez, B. Gordon, M. Horowitz, Supply and threshold voltage scaling for low power CMOS. IEEE J. Solid-State Circuits 32(8), 1210–1216 (1997)

    Article  Google Scholar 

  9. W. Jiajing, S. Nalam, B.H. Calhoun, Analyzing static and dynamic write margin for nanometer SRAMs. in Proceedings of ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED), pp. 129–134, 2014

  10. M. Khellah, D. Somasekhar, Y. Ye, N. Kim, J. Howard, G. Ruhl, M. Sunna, J. Tschanz, N. Borkar, F. Hamzaoglu, G. Pandya, A. Farhang, K. Zhang, V. De, A 256-kb dual-VCC SRAM building block in 65-nm CMOS process with actively clamped sleep transistor. IEEE J. Solid-State Circuits 42(1), 233–242 (2007)

    Article  Google Scholar 

  11. T.-H. Kim, J. Liu, C.H. Kim, An 8T subthreshold SRAM cell utilizing reverse short channel effect for write margin and read performance improvement, in Proceedings of IEEE Custom Integrated Circuits Conference (CICC), pp. 241–244, 2007

  12. T.H. Kim, J. Liu, C.H. Kim, A voltage scalable 0.26 V, 64 kb 8T SRAM with voltage lowering techniques and deep sleep mode. IEEE J. Solid-State Circuits 44(6), 1785–1795 (2009)

    Article  MathSciNet  Google Scholar 

  13. J.P. Kulkarni, K. Kim, K. Roy, A 160 mV robust schmitt trigger based subthreshold SRAM. IEEE J. Solid-State Circuits 42(10), 2303–2313 (2007)

    Article  Google Scholar 

  14. C.B. Kushwah, D. Dwivedi, N. Sathisha, 8T Based SRAM Cell and Related Method. U. S. A., IBM docket no. IN920130218US1, Filed April 2013. Patent Pending (2014)

  15. C.B. Kushwah, S.K. Vishvakarma, D. Dwivedi, Single-ended sub-threshold FinFET 7T SRAM cell without boosted supply, in Proceedings of IEEE International Conference on IC Design & Technology (ICICDT), pp. 1–4, 2014

  16. C.B. Kushwah S.K. Vishvakarma, A sub-threshold eight transistor (8T) SRAM cell design for stability improvement. in Proceedings of IEEE International Conference on IC Design & Technology (ICICDT), pp. 1–4, 2014

  17. C.B. Kushwah, S.K. Vishvakarma, Ultra-low power sub-threshold SRAM cell design to improve read static noise margin. Lect. Notes Comput. Sci. 7373, 139–146 (2012)

    Article  Google Scholar 

  18. Z. Liu, V. Kursun, Characterization of a novel nine-transistor SRAM cell. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16, 488–492 (2008)

    Article  Google Scholar 

  19. C.-H. Lo, S.-Y. Huang, P–P–N based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE J. Solid-State Circuits 46(3), 695–704 (2011)

    Article  Google Scholar 

  20. D. Markovic, C.C. Wang, L.P. Alarcon, T.-T. Liu, J.M. Rabaey, Ultralow-power design in near-threshold region. Proc. IEEE 98(2), 237–252 (2010)

    Article  Google Scholar 

  21. T. Ming-Hsien, J.-Y. Lin, M.-C. Tsai, L. Chien-Yu, Y.-J. Lin, M.-H. Wang, H.-S. Huang, K.-D. Lee, W.-C. Shih, S.-J. Jou, C.-T. Chuang, A single-ended disturb-free 9T subthreshold SRAM with cross-point data-aware write word-line structure, negative bit-line, and adaptive read operation timing tracing. IEEE J. Solid-State Circuits 47(6), 1469–1482 (2012)

    Article  Google Scholar 

  22. S. Mukhopadhyay, H. Mahmoodi, K. Roy, Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Trans. Computer-Aided Design (CAD) Integr. Circuits Syst. 24(12), 1859–1880 (2005)

    Article  Google Scholar 

  23. G. Pasandi, S.M. Fakhraie, A new sub-threshold 7T SRAM cell design with capability of bit-interleaving in 90 nm CMOS, in Proceedings of 2013 21st Iranian Conference on Electrical Engineering (ICEE), pp. 1–6, 2013

  24. K. Roy, S. Prasad, Low Power CMOS VLSI Circuit Design, 1st edn. (Wiley, New York, 2000)

    Google Scholar 

  25. E. Seevinck et al., Static noise margin analysis of MOS SRAM cells. IEEE J. Solid-State Circuits SC–22(10), 748–754 (1987)

    Article  Google Scholar 

  26. K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii, H. Kobatake, A read-staic-noise-margin-free SRAM cell for low-VDD and high-speed applications. IEEE J. Solid-State Circuits 41(1), 113–121 (2006)

    Article  Google Scholar 

  27. S. Tawfik, V. Kursun, Low power and robust 7T dual-Vt SRAM circuit, in Proceedings of International Symposium Circuits and Systems, pp. 1452–1455, 2008

  28. M.-H. Tu, J.-Y. Lin, M.-C. Tsai, S.J. Jou, C.-T. Chuang, Single-ended subthreshold SRAM with asymmetrical write/read-assist. IEEE Trans. Circuit Syst I 57(12), 3039–3047 (2010)

    Article  MathSciNet  Google Scholar 

  29. N. Verma, A.P. Chandrakasan, A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid-State Circuits 43(1), 141–149 (2008)

    Article  Google Scholar 

  30. A. Wang, A. Chandrakasan, A 180-mV subthreshold FFT processor using a minimum energy design methodology. IEEE J. Solid-State Circuits 40(1), 310–319 (2005)

    Article  Google Scholar 

  31. Y.L. Yeoh, B. Wang, X. Yu, T.T. Kim, A 0.4V 7T SRAM with write through virtual ground and ultra-fine grain power gating switches. in Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), pp. 3030–3033, 2013

  32. N. Yoshinobu, H. Masahi, K. Takayuki, K. Itoh, Review and future prospects of low-voltage RAM circuits. IBM J. Res. Dev. 47(5/6), 525–552 (2003)

    Google Scholar 

  33. B. Zhai, S. Hanson, D. Blaauw, D. Sylvester, A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J Solid-State Circuits 43(10), 2338–2348 (2008)

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to S. K. Vishvakarma.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kushwah, C.B., Vishvakarma, S.K. & Dwivedi, D. Single-Ended Boost-Less (SE-BL) 7T Process Tolerant SRAM Design in Sub-threshold Regime for Ultra-Low-Power Applications. Circuits Syst Signal Process 35, 385–407 (2016). https://doi.org/10.1007/s00034-015-0086-5

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00034-015-0086-5

Keywords

Navigation