Skip to main content
Log in

Abstract

We present a new algorithm for resource-constrained scheduling for digital signal processing (DSP) applications when the number of processors is fixed and the objective is to obtain a schedule with the minimum iteration period. This type of scheduling is best suited for moderate speed applications where conservation of area and power is more important than speed. We define and make use of newgraph dependent constraints to obtain a lower bound estimate on the iteration period for any data-flow graph. By satisfying these constraints before performing the scheduling task, we can restrict the design space and can generate valid schedules in less time than previously reported. The graph dependent constraints provide a more accurate lower bound estimate on the iteration period than previously published results. This new scheduling algorithm exploits the iterative nature of DSP algorithms and uses aniterative-loop based scheduling approach. This resource scheduling algorithm has been incorporated in the Minnesota ARchitecture Synthesis (MARS) system. Our approach exploits inter-iteration and intra-iteration precedence constraints and incorporates implicit retiming and pipelining to generate optimal and near optimal schedules.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. M.C. McFarland, A. Parker, and R. Camposano, “The High-Level Synthesis of Digital Systems,”Proceedings of the IEEE, pp. 310–318, 1990.

  2. H. DeMan et al., “Cathedral II: A Silicon Compiler for Digital Signal Processing,”IEEE Design and Test, pp. 13–25, 1986.

  3. G. Goossens et al., “An Efficient Microcode Compiler for Application Specific DSP Processors,”IEEE Trans. on Computer Aided Design, Vol. 9, pp. 925–2174, 1990.

    Article  Google Scholar 

  4. J. Rabaey et al., “Fast Prototyping of Datapath-Intensive Architectures,”IEEE Design & Test of Computers, Vol. 7, pp. 40–51, 1991. (Also see J. Rabaey et al., “Resource Driven Synthesis in Hyper System,”Proc. of 1990 IEEE ISCAS, pp. 2592–2595.)

    Article  Google Scholar 

  5. C.T. Hwang, J.H. Lee, Y.C. Hsu, and Y.L. Lin, “A Formal Approach to the scheduling Problem in High Level Synthesis,”IEEE Trans. on Computer-Aided Design, Vol. 10, pp. 464–475, 1991.

    Article  Google Scholar 

  6. N. Park and A.C. Parker, “Sehwa: A Software Package for the Synthesis of Pipelines from Behavioral Specifications,”IEEE Trans. on Computer-Aided Design, Vol. 7, pp. 356–370, 1988.

    Article  Google Scholar 

  7. P. DeWilde et al., “Parallel and Pipelined VLSI Implementation of Signal Processing Algorithms,” inVLSI and Modern Signal Processing, Kung, Whitehouse, and Kailath (Eds.), Chapter 15, Prentice Hall, 1985.

  8. P.G. Paulin and J.P. Knight, “Force Directed Scheduling for the Behavioral Synthesis of ASIC's,”IEEE Trans. on Computer-Aided Design of IC's, Vol. 8, 1989.

  9. A.C. Parker et al., “MAHA: A Program for Data Path Synthesis,”Proc. of the 23rd Design Automation Conference, pp. 461–466, July 1986.

  10. P. Marwedel, “A New Synthesis Algorithm for the MIMOLA Software System,”Proc. of the 23rd Design Automation Conference, pp. 271–277, July 1986.

  11. R. Camposano, “Path Based Scheduling for Synthesis,”IEEE Trans. on Computer-Aided Design, Vol. 10, pp. 85–93, 1991.

    Article  Google Scholar 

  12. K.S. Hwang et al., “Scheduling and Hardware Sharing in Pipelined Data Paths,”Proc. of the IEEE Int. Conf. on Computer Aided Design, pp. 24–27, 1989.

  13. D.J. Wang and Y.H. Hu, “Optimal Scheduling of Linear Recurrence Equations on a Multiprocessor Array,”Proc. of the IEEE ICASSP, Toronto, May 1991, pp. 1581–1584.

  14. C.-Y. Wang and K.K. Parhi, “Dedicated DSP Architecture Synthesis Using the MARS Design System,”Proceedings of the IEEE International Conference on Acoustics, Speech and Signal Processing, Toronto Canada, May 1991, pp. 1253–1256.

  15. C.-Y. Wang and K.K. Parhi, “High-Level DSP Synthesis Using Concurrent Transformations, Scheduling, and Allocation,” Chapter 5 inVLSI Design Methodologies for DSP Architectures and Applications, Kluwer Academic Publishers, 1994, pp. 169–205. (Also see C.-Y. Wang and K.K. Parhi, “High Level DSP Synthesis Using the MARS Design System,”Proc. of the IEEE ISCAS, San Diego, May 1992, pp. 164–167.)

  16. C.-Y. Wang and K.K. Parhi, “Loop-List Scheduler for DSP Algorithms Under Resource-Constraints,”Proc. of the IEEE ISCAS, Chicago, May 1993, pp. 1662–1666.

  17. C.T. Hwang et al., “PLS: Scheduler for Pipeline Synthesis,”IEEE Trans. on Computer-Aided Design, Vol. 12, pp. 1279–1286, 1993. (Also see C.T. Hwang et al., “Scheduling for Functional Pipelining and Loop Winding,”Proc. of the 28th Design Automation Conference, pp. 764–769, June 1991.)

    Article  Google Scholar 

  18. L.E. Lucke and K.K. Parhi, “Generalized ILP Scheduling for High-Level DSP Synthesis,”Proc. of the 1993 IEEE Custom Integrated Circuits Conference, San Diego, May 1993.

  19. C.H. Gebotys and M.I. Elmasry, “Optimal Synthesis of High-Performance Architectures,”IEEE Journal of Solid-State Circuits, Vol. 27, pp. 389–397, 1992.

    Article  Google Scholar 

  20. C.H. Gebotys and M.I. Elmasry, “Global Optimization Approach for Architectural Synthesis,”IEEE Trans. on Computer-Aided Design, Vol. 12, pp. 1266–1278, 1993.

    Article  Google Scholar 

  21. S. Note et al., “Cathedral-III: Architecture-Driven High-Level Synthesis for High Throughput DSP Applications,”Proc. of the 28th Design Automation Conference, pp. 597–602, June 1991.

  22. M.S. Lam,A Systolic Array Optimizing Compiler, Kluwer Academic Publishers, 1989.

  23. R. Potasman et al., “Percolation Based Synthesis,”Proc. of the 27th Design Automation Conference, June 1990.

  24. A. Nicolau and R. Potasman, “Incremental Tree Height Reduction for High Level Synthesis,”Proc. of the 28th Design Automation Conference, pp. 770–774, June 1991.

  25. B.S. Haroun and M.J. Elmasry, “Architectural Synthesis for DSP Silicon Compiler,”IEEETrans. on Computer-Aided Design, Vol. 9, pp. 431–447, 1990.

    Google Scholar 

  26. I.-C. Park and C.-M. Kyung, “FAMOS: An Efficient Scheduling Algorithm for High-Level Synthesis,”IEEE Trans. on Computer-Aided Design, Vol. 12, pp. 1437–1448, 1993.

    Article  Google Scholar 

  27. A. Sharma and R. Jain, “InSyn: Integrated Scheduling for DSP Applications,”Proc. of the 30th Design Automation Conference, pp. 349–354, June 1993.

  28. M.R. Garey and D.S. Johnson,Computers and Intractability: A Guide to the Theory of NP-Completeness, Freeman, 1979.

  29. K.K. Parhi and D.G. Messerschmitt, “Static Rate-Optimal Scheduling of Iterative Data Flow Programs via Optimum Unfolding,”IEEE Trans. on Computers, Vol. 40, pp. 178–195, 1991.

    Article  Google Scholar 

  30. S.M. Heemstra de Groot et al., “Range-Chart-Guided Iterative Data-Flow-Graph Scheduling,”IEEE Trans. on Circuits and Systems I, Vol. 39, pp. 351–364, 1992.

    Article  MATH  Google Scholar 

  31. C.E. Leiserson, and J.B. Saxe, “Optimizing Synchronous Circuitry by Retiming,”3rd Caltech Conference on VLSI, pp. 87–116.

  32. A. Sharma and R. Jain, “Estimating Architectural Resources and Performance for High-Level Synthesis Applications,”Proc. of the 30th Design Automation Conference, pp. 355–360, June 1993.

  33. M. Rim and R. Jain, “Lower-Bound Performance Estimation for the High-Level Synthesis Scheduling Problem,”IEEE Trans. on Computer-Aided Design, Vol. 13, pp. 451–458, 1994.

    Article  Google Scholar 

  34. T.A. Ly and J.T. Mowchenko, “Bottom Up Synthesis Based on Fuzzy Schedules,”Proc. of the 28th Design Automation Conference, pp. 674–679, June 1991.

  35. L.-F. Chao, A. LaPaugh, and E.H. Sha, “Rotation Scheduling: A Loop Pipelining Algorithm,”Proc. of the 30th Design Automation Conference, pp. 566–572, June 1993.

  36. M. Renfors and Y. Neuvo, “The Maximum Sampling Rate of Digital Filters Under Hardware Speed Consraints,”IEEE Trans. on Circuts and Systems, pp. 196–202, March 1981.

  37. E.M. Reingold et al.,Combinatorial Algorithms—Theory and Practice, Prentice-Hall, Inc., 1977, pp. 348–353.

  38. A. Aho, R. Sethi, and J.D. Ullman,Compilers: Principles, Techniques, and Tools, Reading, MA: Addison-Wesley, 1986.

    Google Scholar 

  39. B.W. Wah, M. Aboelaze, and W. Shang, “Systematic Design of Buffers in Macropipelines of Systolic Arrays,”J. Parallel Dist. Comp., Vol. 5, pp. 1–25, 1988.

    Article  Google Scholar 

  40. K. K. Parhi, “Systematic Synthesis of DSP Data Format Converters Using Life-Time Analysis and Forward-Backward Register Allocation,”IEEE Trans. on Circuits and Systems-II, Vol. 39, 1992.

  41. “Benchmarks for the Fifth International Workshop on High-Level Synthesis,” 1991.

  42. J.-G. Chung and K.K. Parhi, “Design of Pipelined Lattice IIR Digital Filters,”Proc. of the 25th Asilomar Conf. on Signals,Systems, and Computers, Nov. 1991, pp. 1021–1025. (Also see J.-G. Chung and K.K. Parhi, “Pipelining of Lattice IIR Digital Filters,”IEEE Trans. on Signal Processing, April 1994.)

Download references

Author information

Authors and Affiliations

Authors

Additional information

This research was supported by the Advanced Research Projects Agency under grant number F33615-93-C-1309 and the office of Naval Research under contract number N00014-91-J-1008.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Wang, C.Y., Parhi, K.K. Resource-constrained loop list scheduler for DSP algorithms. Journal of VLSI Signal Processing 11, 75–96 (1995). https://doi.org/10.1007/BF02106824

Download citation

  • Received:

  • Revised:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/BF02106824

Keywords

Navigation