Skip to main content
Log in

Increased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibition

  • Article
  • Focus Issue: Surfaces and Interfaces in Electronics and Photonics
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

An area-selective atomic layer deposition (AS-ALD) process is developed that achieves increased selectivity by combining two strategies: i) selective enhancement using a small molecule activator and ii) self-assembled monolayer (SAM)-based inhibition. Specifically, we show that Pt can be selectively deposited on SiO2 over Co. In this process, Co, which serves as the non-growth surface, is protected by an octadecylphosphonic acid (ODPA) SAM, while the subsequent nucleation and growth of Pt on SiO2 is enhanced using a trimethylaluminum (AlMe3) pretreatment. This combination of enhancement and inhibition yields 3–6 times  higher Pt coverage on the growth surface (SiO2) while maintaining selectivity of at least 0.98 after 100 Pt ALD cycles. Pt is used here as a model system, but this process can be extended for AS-ALD of other materials.

Graphic abstract

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5

Similar content being viewed by others

References

  1. A.J.M. Mackus, A.A. Bol, W.M.M. Kessels, The use of atomic layer deposition in advanced Nanopatterning. Nanoscale 6(19), 10941–10960 (2014)

    Article  CAS  Google Scholar 

  2. A.J.M. Mackus, M.J.M. Merkx, W.M.M. Kessels, From the bottom-up: toward area-selective atomic layer deposition with high selectivity. Chem. Mater. 31(1), 2–12 (2019)

    Article  CAS  Google Scholar 

  3. M. He, X. Zhang, T. Nogami, X. Lin, J. Kelly, H. Kim, T. Spooner, D. Edelstein, L. Zhao, Mechanism of Co liner as enhancement layer for Cu interconnect gap-fill. J. Electrochem. Soc. 160(12), D3040–D3044 (2013)

    Article  CAS  Google Scholar 

  4. R. Clark, K. Tapily, K.H. Yu, T. Hakamata, S. Consiglio, D. O’Meara, C. Wajda, J. Smith, G. Leusink, Perspective: new process technologies required for future devices and scaling. APL Mater. 6(5), 058203 (2018)

    Article  CAS  Google Scholar 

  5. M. Fang, J.C. Ho, Area-selective atomic layer deposition: conformal coating, subnanometer thickness control, and smart positioning. ACS Nano 9(9), 8651–8654 (2015)

    Article  CAS  Google Scholar 

  6. A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.E.M.M. Kessels, A.J.M. MacKus, Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycle. ACS Nano 11(9), 9303–9311 (2017)

    Article  CAS  Google Scholar 

  7. R.W. Johnson, A. Hultqvist, S.F. Bent, A brief review of atomic layer deposition: from fundamentals to applications. Mater. Today 17(5), 236–246 (2014)

    Article  CAS  Google Scholar 

  8. H.B.R. Lee, S.F. Bent, Nanopatterning by Area-Selective Atomic Layer Deposition. Atomic Layer Deposition of Nanostructured Materials (Wiley, Weinheim, 2012), pp. 193–225

    Google Scholar 

  9. S.E. Atanasov, B. Kalanyan, G.N. Parsons, Inherent substrate-dependent growth initiation and selective-area atomic layer deposition of TiO2 using “Water-Free” metal-halide/metal alkoxide reactants. J. Vac. Sci. Technol. A 34(1), 01A148 (2016)

    Article  CAS  Google Scholar 

  10. A. Mameli, B. Karasulu, M.A. Verheijen, B. Barcones, B. Macco, A.J.M. Mackus, W.M.M.E. Kessels, F. Roozeboom, Area-selective atomic layer deposition of ZnO by area activation using electron beam-induced deposition. Chem. Mater. 31(4), 1250–1257 (2019)

    Article  CAS  Google Scholar 

  11. A. Haider, M. Yilmaz, P. Deminskyi, H. Eren, N. Biyikli, Nanoscale selective area atomic layer deposition of TiO2 using E-beam patterned polymers. RSC Adv. 6(108), 106109–106119 (2016)

    Article  CAS  Google Scholar 

  12. F.S.M. Hashemi, C. Prasittichai, S.F. Bent, A new resist for area selective atomic and molecular layer deposition on metal-dielectric patterns. J. Phys. Chem. C 118(20), 10957–10962 (2014)

    Article  CAS  Google Scholar 

  13. R. Chen, H. Kim, P.C. McIntyre, D.W. Porter, S.F. Bent, Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification. Appl. Phys. Lett. 86(19), 1–3 (2005)

    Article  Google Scholar 

  14. W.H. Kim, F.S. Minaye Hashemi, A.J.M. Mackus, J. Singh, Y. Kim, D. Bobb-Semple, Y. Fan, T. Kaufman-Osborn, L. Godet, S.F. Bent, A process for topographically selective deposition on 3D nanostructures by ion implantation. ACS Nano 10(4), 4451–4458 (2016)

    Article  CAS  Google Scholar 

  15. R. Wojtecki, M. Mettry, N.F. Fine Nathel, A. Friz, A. De Silva, N. Arellano, H. Shobha, Fifteen nanometer resolved patterns in selective area atomic layer deposition—defectivity reduction by monolayer design. ACS Appl. Mater. Interfaces 10(44), 38630–38637 (2018)

    Article  CAS  Google Scholar 

  16. S.N. Chopra, Z. Zhang, C. Kaihlanen, J.G. Ekerdt, Selective growth of titanium nitride on HfO2 across nanolines and nanopillars. Chem. Mater. 28(14), 4928–4934 (2016)

    Article  CAS  Google Scholar 

  17. D. Bobb-Semple, K.L. Nardi, N. Draeger, D.M. Hausmann, S.F. Bent, Area-selective atomic layer deposition assisted by self-assembled monolayers: a comparison of Cu Co, W, and Ru. Chem. Mater. 31(5), 1635–1645 (2019)

    Article  CAS  Google Scholar 

  18. S. Seo, B.C. Yeo, S.S. Han, C.M. Yoon, J.Y. Yang, J. Yoon, C. Yoo, H.J. Kim, Y.B. Lee, S.J. Lee et al., Reaction mechanism of area-selective atomic layer deposition for Al2O3 nanopatterns. ACS Appl. Mater. Interfaces 9(47), 41607–41617 (2017)

    Article  CAS  Google Scholar 

  19. L. Lecordier, S. Herregods, S. Armini, Vapor-deposited octadecanethiol masking layer on copper to enable area selective Hf3N4 atomic layer deposition on dielectrics studied by in situ spectroscopic ellipsometry. J. Vac. Sci. Technol. A 36(3), 031605 (2018)

    Article  CAS  Google Scholar 

  20. F.S. Minaye Hashemi, B.R. Birchansky, S.F. Bent, Selective deposition of dielectrics: limits and advantages of alkanethiol blocking agents on metal-dielectric patterns. ACS Appl. Mater. Interfaces 8(48), 33264–33272 (2016)

    Article  CAS  Google Scholar 

  21. X. Jiang, S.F. Bent, Area-selective ALD with soft lithographic methods: using self-assembled monolayers to direct film deposition. J. Phys. Chem. C 113(41), 17613–17625 (2009)

    Article  CAS  Google Scholar 

  22. F.S.M. Hashemi, S.F. Bent, Sequential regeneration of self-assembled monolayers for highly selective atomic layer deposition. Adv. Mater. Interfaces 3(21), 1600464 (2016)

    Article  CAS  Google Scholar 

  23. S. Balasubramanyam, M.J.M. Merkx, M.A. Verheijen, W.M.M. Kessels, A.J.M. Mackus, A.A. Bol, Area-selective atomic layer deposition of two-dimensional WS2 nanolayers. ACS Mater. Lett. 2, 511–518 (2020)

    Article  CAS  Google Scholar 

  24. K.J. Kanarik, T. Lill, E.A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, R.A. Gottscho, Overview of atomic layer etching in the semiconductor industry. J. Vac. Sci. Technol. A 33(2), 020802 (2015)

    Article  CAS  Google Scholar 

  25. R. Vallat, R. Gassilloud, O. Salicio, K. El Hajjam, G. Molas, B. Pelissier, C. Vallée, Area selective deposition of TiO2 by intercalation of plasma etching cycles in PEALD process: a bottom up approach for the simplification of 3D integration scheme. J. Vac. Sci. Technol. A 37(2), 020918 (2019)

    Article  CAS  Google Scholar 

  26. R. Vallat, R. Gassilloud, B. Eychenne, C. Vallée, Selective deposition of Ta2O5 by adding plasma etching super-cycles in plasma enhanced atomic layer deposition steps. J. Vac. Sci. Technol. A 35(1), 01B104 (2017)

    Article  CAS  Google Scholar 

  27. V. Renaud, C. Petit-Etienne, J.P. Barnes, J. Bisserier, O. Joubert, E. Pargon, Two-step cycling process alternating implantation and remote plasma etching for topographically selective etching: application to Si3N4 spacer etching. J. Appl. Phys. 126(24), 243301 (2019)

    Article  CAS  Google Scholar 

  28. F.S. Minaye Hashemi, C. Prasittichai, S.F. Bent, Self-correcting process for high quality patterning by atomic layer deposition. ACS Nano 9(9), 8710–8717 (2015)

    Article  CAS  Google Scholar 

  29. J.W. Clancey, A.S. Cavanagh, R.S. Kukreja, A. Kongkanand, S.M. George, Atomic layer deposition of ultrathin platinum films on tungsten atomic layer deposition adhesion layers: application to high surface area substrates. J. Vac. Sci. Technol. A 33(1), 1130 (2015)

    Article  CAS  Google Scholar 

  30. P.C. Lemaire, M. King, G.N. Parsons, P.C. Lemaire, M. King, G.N. Parsons, Understanding inherent substrate selectivity during atomic layer deposition : effect of surface preparation, hydroxyl density, and metal oxide composition on nucleation mechanisms during tungsten ALD understanding inherent substrate selectivity during A. J. Chem. Phys. 146(5), 052811 (2017)

    Article  CAS  Google Scholar 

  31. H.B.R. Lee, K.L. Pickrahn, S.F. Bent, Effect of O3 on growth of Pt by atomic layer deposition. J. Phys. Chem. C 118(23), 12325–12332 (2014)

    Article  CAS  Google Scholar 

  32. D.N. Goldstein, S.M. George, Enhancing the nucleation of palladium atomic layer deposition on Al2O3 using trimethylaluminum to prevent surface poisoning by reaction products. Appl. Phys. Lett. 95(14), 143106 (2009)

    Article  CAS  Google Scholar 

  33. Y. Hwang, B.-M. Nguyen, S.A. Dayeh, Atomic Layer deposition of platinum with enhanced nucleation and coalescence by trimethylaluminum pre-pulsing. Appl. Phys. Lett. 103(26), 263115 (2013)

    Article  CAS  Google Scholar 

  34. C. De Paula, N.E. Richey, L. Zeng, S.F. Bent, Mechanistic study of nucleation enhancement in atomic layer deposition by pretreatment with small organometallic molecules. Chem. Mater. 32(1), 315–325 (2020)

    Article  CAS  Google Scholar 

  35. D.Z. Austin, M.A. Jenkins, D. Allman, S. Hose, D. Price, C.L. Dezelah, J.F. Conley, Atomic layer deposition of ruthenium and ruthenium oxide using a zero-oxidation state precursor. Chem. Mater. 29(3), 1107–1115 (2017)

    Article  CAS  Google Scholar 

  36. S.M. Geyer, R. Methaapanon, R. Johnson, S. Brennan, M.F. Toney, B. Clemens, S. Bent, Structural evolution of platinum thin films grown by atomic layer deposition. J. Appl. Phys. 116(6), 064905 (2014)

    Article  CAS  Google Scholar 

  37. T. Aaltonen, M. Ritala, Y.L. Tung, Y. Chi, K. Arstila, K. Meinander, M. Leskelä, Atomic layer deposition of noble metals: exploration of the low limit of the deposition temperature. J. Mater. Res. 19(11), 3353–3358 (2004)

    Article  CAS  Google Scholar 

  38. D. Chen, H.K.Y. Wu, S. Naderi-Gohar, Y. Wu, Y. Huang, H.Y. Nie, An extremely rapid dip-coating method for self-assembly of octadecylphosphonic acid and its thermal stability on an aluminum film. J. Mater. Chem. C 2(46), 9941–9948 (2014)

    Article  CAS  Google Scholar 

  39. W.L. Gladfelter, Selective metallization by chemical vapor deposition. Chem. Mater. 5(10), 1372–1388 (1993)

    Article  CAS  Google Scholar 

  40. H. Shi, D. Lederman, Surface smoothing and crystalline reorientation in thin cobalt films. Phys. Rev. B 58(4), R1778–R1781 (1998)

    Article  CAS  Google Scholar 

  41. K.J. Park, J.M. Doub, T. Gougousi, G.N. Parsons, Microcontact patterning of ruthenium gate electrodes by selective area atomic layer deposition. Appl. Phys. Lett. 86(5), 1–3 (2005)

    Article  CAS  Google Scholar 

  42. A. Sinha, D.W. Hess, C.L. Henderson, Area selective atomic layer deposition of titanium dioxide: effect of precursor chemistry. J. Vac. Sci. Technol. B 24(6), 2523–2532 (2006)

    Article  CAS  Google Scholar 

  43. X. Jiang, R. Chen, S.F. Bent, Spatial control over atomic layer deposition using microcontact-printed resists. Surf. Coat. Technol. 201(22–23 SPEC. ISS.), 8799–8807 (2007).

  44. R. Khan, B. Shong, B.G. Ko, J.K. Lee, H. Lee, J.Y. Park, I.K. Oh, S.S. Raya, H.M. Hong, K.B. Chung et al., Area-selective atomic layer deposition using Si precursors as inhibitors. Chem. Mater. 30(21), 7603–7610 (2018)

    Article  CAS  Google Scholar 

  45. V. Suresh, M.S. Huang, M.P. Srinivasan, C. Guan, H.J. Fan, S. Krishnamoorthy, Robust, high-density zinc oxide nanoarrays by nanoimprint lithography-assisted area-selective atomic layer deposition. J. Phys. Chem. C 116(44), 23729–23734 (2012)

    Article  CAS  Google Scholar 

  46. J.A. Singh, Nanomaterial Design via ALD: New Methods and Applications in Catalysis (Stanford University, Stanford, 2018).

    Google Scholar 

  47. H.B.R. Lee, M.N. Mullings, X. Jiang, B.M. Clemens, S.F. Bent, Nucleation-controlled growth of nanoparticles by atomic layer deposition. Chem. Mater. 24(21), 4051–4059 (2012)

    Article  CAS  Google Scholar 

  48. R.L. Puurunen, W. Vandervorst, Island growth as a growth mode in atomic layer deposition: a phenomenological model. J. Appl. Phys. 96(12), 7686–7695 (2004)

    Article  CAS  Google Scholar 

Download references

Acknowledgments

This work was supported in part by the U.S. Department of Energy under Award No. DE-SC0004782 (C.dP. and S.F.B). D.B.S. gratefully acknowledges the SRC Graduate Fellowship for providing support during her PhD. Part of this work was performed at the Stanford Nano Shared Facilities (SNSF), supported by the National Science Foundation under award ECCS-1542152. The authors thank Dr. Nathaniel Richey and Dr. Richard Closser for reviewing this manuscript.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Stacey F. Bent.

Additional information

These authors contributed equally to this work.

Supplementary information

Below is the link to the electronic supplementary material.

Electronic supplementary material 1 (DOCX 931 kb)

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

de Paula, C., Bobb-Semple, D. & Bent, S.F. Increased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibition. Journal of Materials Research 36, 582–591 (2021). https://doi.org/10.1557/s43578-020-00013-4

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/s43578-020-00013-4

Keywords

Navigation