Skip to main content

Advertisement

Log in

Competitive interplay of deposition and etching processes in atomic layer growth of cobalt and nickel metal films

  • Article
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

Atomic layer deposition (ALD) of air stable cobalt and nickel complexes based on tridentate enaminones N, N-(4,4,4-trifluorobut-1-en-3-on)-dimethylethyldiamine (Htfb-dmeda) and N, N-(4,4,4-trifluorobut-1-en-3-on)-dimethylpropyldiamine (Htfb-dmpda) successfully produced metallic cobalt and nickel thin films. Detailed X-ray photoelectron spectroscopy (XPS) studies on the binding interaction of the first precursor monolayer with the surface functional groups elucidated the chemisorption behavior of the new precursor systems. A reactive remote hydrogen plasma was used as the co-reactant to activate the precursor decomposition yielding metal hydroxide intermediates. Subsequent hydrogen plasma etching of as-deposited films resulted in phase-pure metallic films through a recrystallization process, verified by surface and sub-surface XPS. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) analyses revealed pinhole-free films, with low surface roughness (0.2 ± 0.06 nm root mean square, RMS) for both, cobalt and nickel thin films. Herein, the competitive role of hydrogen as etchant and reactant was demonstrated as prolonged plasma exposure time periods resulted in the formation of metal hydrides. This is mostly due to the catalytic dissociation of molecular hydrogen on transition metal surfaces, which already occurs upon low energy input.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

FIG. 1
FIG. 2
FIG. 3
FIG. 4
FIG. 5
FIG. 6
FIG. 7
FIG. 8

Similar content being viewed by others

References

  1. S.D. Bader: Magnetism in low dimensionality. Surf. Sci. 500, 172 (2002).

    Article  CAS  Google Scholar 

  2. K. Michaeli, V. Varade, R. Naaman, and D.H. Waldeck: A new approach towards spintronics-spintronics with no magnets. J. Phys.: Condens. Matter 29, 103002 (2017).

    Google Scholar 

  3. H. Ago, Y. Ito, N. Mizuta, K. Yoshida, B. Hu, C.M. Orofeo, M. Tsuji, K. Ikeda, and S. Mizuno: Epitaxial chemical vapor deposition growth of single-layer graphene over cobalt film crystallized on sapphire. ACS Nano 4, 7407 (2010).

    Article  CAS  Google Scholar 

  4. M. Losurdo, M.M. Giangregorio, P. Capezzuto, and G. Bruno: Graphene CVD growth on copper and nickel: Role of hydrogen in kinetics and structure. Phys. Chem. Chem. Phys. 13, 20836 (2011).

    Article  CAS  Google Scholar 

  5. H. Falk-Windisch, J. Claquesin, M. Sattari, J.E. Svensson, and J. Froitzheim: Co- and Ce/Co-coated ferritic stainless steel as interconnect material for intermediate temperature solid oxide fuel cells. J. Power Sources 343, 1 (2017).

    Article  CAS  Google Scholar 

  6. D. Beckel, A. Bieberle-Hutter, A. Harvey, A. Infortuna, U.P. Muecke, M. Prestat, J.L.M. Rupp, and L.J. Gauckler: Thin films for micro solid oxide fuel cells. J. Power Sources 173, 325 (2007).

    Article  CAS  Google Scholar 

  7. A.H. Reader, A.H. Vanommen, P.J.W. Weijs, R.A.M. Wolters, and D.J. Oostra: Transition-metal silicides in silicon technology. Rep. Prog. Phys. 56, 1397 (1993).

    Article  CAS  Google Scholar 

  8. S.M. George: Atomic layer deposition: An overview. Chem. Rev. 110, 111 (2010).

    Article  CAS  Google Scholar 

  9. R.L. Puurunen: Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process. J. Appl. Phys. 97, 121301 (2005).

    Article  CAS  Google Scholar 

  10. S. Liu, J.M. Tan, A. Gulec, L.A. Crosby, T.L. Drake, N.M. Schweitzer, M. Delferro, L.D. Marks, T.J. Marks, and P.C. Stair: Stabilizing single-atom and small-domain platinum via combining organometallic chemisorption and atomic layer deposition. Organometallics 36, 818 (2017).

    Article  CAS  Google Scholar 

  11. S.D. Elliott, G. Dey, and Y. Maimaiti: Classification of processes for the atomic layer deposition of metals based on mechanistic information from density functional theory calculations. J. Chem. Phys. 146, 052822 (2017).

    Article  CAS  Google Scholar 

  12. M.B.E. Griffiths, P.J. Pallister, D.J. Mandia, and S.T. Barry: Atomic layer deposition of gold metal. Chem. Mater. 28, 44 (2016).

    Article  CAS  Google Scholar 

  13. M. Makela, T. Hatanpaa, K. Mizohata, K. Meinander, J. Niinisto, J. Raisanen, M. Ritala, and M. Leskela: Studies on thermal atomic layer deposition of silver thin films. Chem. Mater. 29, 2040 (2017).

    Article  CAS  Google Scholar 

  14. M. Mäkelä, T. Hatanpää, K. Mizohata, J. Räisänen, M. Ritala, and M. Leskelä: Thermal atomic layer deposition of continuous and highly conducting gold thin films. Chem. Mater. 29, 6130 (2017).

    Article  CAS  Google Scholar 

  15. H.F. Liu: Recent progress in atomic layer deposition of multifunctional oxides and two-dimensional transition metal dichalcogenides. J. Mol. Eng. Mater. 4, 1640010 (2016).

    Article  CAS  Google Scholar 

  16. H.G. Kim and H.B.R. Leek: Atomic layer deposition on 2D materials. Chem. Mater. 29, 3809 (2017).

    Article  CAS  Google Scholar 

  17. S.W. Ryu, J. Yoon, H.S. Moon, B. Shong, H. Kim, and H.B.R. Lee: Atomic layer deposition of 1D and 2D nickel nanostructures on graphite. Nanotechnology 28, 115301 (2017).

    Article  CAS  Google Scholar 

  18. D.Z. Austin, M.A. Jenkins, D. Allman, S. Hose, D. Price, C.L. Dezelah, and J.F. Conley: Atomic layer deposition of ruthenium and ruthenium oxide using a zero-oxidation state precursor. Chem. Mater. 29, 1107 (2017).

    Article  CAS  Google Scholar 

  19. J.L. Lu, J.W. Elam, and P.C. Stair: Atomic layer deposition—Sequential self-limiting surface reactions for advanced catalyst “bottom-up” synthesis. Surf. Sci. Rep. 71, 410 (2016).

    Article  CAS  Google Scholar 

  20. Q. Ma, H.S. Guo, R.G. Gordon, and F. Zaera: Surface chemistry of copper(I) acetamidinates in connection with atomic layer deposition (ALD) processes. Chem. Mater. 23, 3325 (2011).

    Article  CAS  Google Scholar 

  21. P.G. Gordon, A. Kurek, and S.T. Barry: Trends in copper precursor development for CVD and ALD applications. ECS J. Solid State Sci. Technol. 4, N3188 (2015).

    Article  CAS  Google Scholar 

  22. B.H. Lee, J.K. Hwang, J.W. Nam, S.U. Lee, J.T. Kim, S.M. Koo, A. Baunemann, R.A. Fischer, and M.M. Sung: Low-temperature atomic layer deposition of copper metal thin films: Self-limiting surface reaction of copper dimethylamino-2-propoxide with diethylzinc. Angew. Chem., Int. Ed. 48, 4536 (2009).

    Article  CAS  Google Scholar 

  23. A. Devi: ‘Old chemistries’ for new applications: Perspectives for development of precursors for MOCVD and ALD applications. Coord. Chem. Rev. 257, 3332 (2013).

    Article  CAS  Google Scholar 

  24. J.P. Coyle, G. Dey, E.R. Sirianni, M.L. Kemell, G.P.A. Yap, M. Ritala, M. Leskela, S.D. Elliott, and S.T. Barry: Deposition of copper by plasma-enhanced atomic layer deposition using a novel N-heterocyclic carbene precursor. Chem. Mater. 25, 1132 (2013).

    Article  CAS  Google Scholar 

  25. M. Sarr, N. Bahlawane, D. Arl, M. Dossot, E. McRae, and D. Lenoble: Tailoring the properties of atomic layer deposited nickel and nickel carbide thin films via chain-length control of the alcohol reducing agents. J. Phys. Chem. C 118, 23385 (2014).

    Article  CAS  Google Scholar 

  26. A.R. Mouat, A.U. Mane, J.W. Elam, M. Delferro, T.J. Marks, and P.C. Stair: Volatile hexavalent oxo-amidinate complexes: Molybdenum and tungsten precursors for atomic layer deposition. Chem. Mater. 28, 1907 (2016).

    Article  CAS  Google Scholar 

  27. Y. Maimaiti and S.D. Elliott: Kinetics and coverage dependent reaction mechanisms of the copper atomic layer deposition from copper dimethylamino-2-propoxide and diethylzinc. Chem. Mater. 28, 6282 (2016).

    Article  CAS  Google Scholar 

  28. V. Miikkulainen, M. Leskela, M. Ritala, and R.L. Puurunen: Crystallinity of inorganic films grown by atomic layer deposition: Overview and general trends. J. Appl. Phys. 113, 021301 (2013).

    Article  CAS  Google Scholar 

  29. K.B. Ramos, M.J. Saly, and Y.J. Chabal: Precursor design and reaction mechanisms for the atomic layer deposition of metal films. Coord. Chem. Rev. 257, 3271 (2013).

    Article  CAS  Google Scholar 

  30. H.B.R. Lee, W.H. Kim, J.W. Lee, J.M. Kim, K. Heo, I.C. Hwang, Y. Park, S. Hong, and H. Kim: High quality area-selective atomic layer deposition Co using ammonia gas as a reactant. J. Electrochem. Soc. 157, D10 (2010).

    Article  CAS  Google Scholar 

  31. T.D.M. Elko-Hansen and J.G. Ekerdt: XPS investigation of the atomic layer deposition half reactions of bis(N-tert-butyl-N ′-ethylpropionamidinato) cobalt(II). Chem. Mater. 26, 2642 (2014).

    Article  CAS  Google Scholar 

  32. B.S. Lim, A. Rahtu, and R.G. Gordon: Atomic layer deposition of transition metals. Nat. Mater. 2, 749 (2003).

    Article  CAS  Google Scholar 

  33. J. Lee and J.G. Lee: Deposition characteristics of Co thin films over high aspect ratio trenches by MOCVD using CO2(CO)8 as a precursor. J. Korean Phys. Soc. 49, S697 (2006).

    CAS  Google Scholar 

  34. J. Lee, H.J. Park, S.H. Won, K.H. Jeong, H.S. Jung, C. Kim, H.J. Bang, C.M. Lee, J.H. Kim, G.C. Kwon, H.L. Cho, H.S. Soh, and J.G. Lee: Consecutive CVD of Al/Co bilayers on SiO2 or Alq3 surfaces at low temperature of 70 °C. J. Electrochem. Soc. 154, H833 (2007).

    Article  CAS  Google Scholar 

  35. J. Lee, H.J. Yang, J.H. Lee, J.Y. Kim, W.J. Nam, H.J. Shin, N. Ko, J.G. Lee, E.G. Lee, and C.S. Kim: Highly conformal deposition of pure Co films by MOCVD using Co2(CO)8 as a precursor. J. Electrochem. Soc. 153, G539 (2006).

    Article  CAS  Google Scholar 

  36. J.G. Lee, H.J. Park, and J.G. Lee: OTS-templated cobalt deposition using Co2(CO)8 precursor. Solid State Phenom. 124–126, 531 (2007).

    Article  Google Scholar 

  37. D.X. Ye, S. Pimanpang, C. Jezewski, F. Tang, J.J. Senkevich, G.C. Wang, and T.M. Lu: Low temperature chemical vapor deposition of Co thin films from Co2(CO)8. Thin Solid Films 485, 95 (2005).

    Article  CAS  Google Scholar 

  38. N.R.M. Crawford, J.S. Knutsen, K.A. Yang, G. Haugstad, S. McKernan, F.B. McCormick, and W.L. Gladfelter: Splitting the coordinated nitric oxide in Co(CO)3(NO) leads to a nanocrystalline, conductive oxonitride of cobalt. Chem. Vap. Deposition 4, 181 (1998).

    Article  CAS  Google Scholar 

  39. A.R. Ivanova, G. Nuesca, X. Chen, C. Goldberg, A.E. Kaloyeros, B. Arkles, and J.J. Sullivan: The effects of processing parameters in the chemical vapor deposition of cobalt from cobalt tricarbonyl nitrosyl. J. Electrochem. Soc. 146, 2139 (1999).

    Article  CAS  Google Scholar 

  40. P.A. Lane, P.E. Oliver, P.J. Wright, C.L. Reeves, A.D. Pitt, and B. Cockayne: Metal organic CVD of cobalt thin films using cobalt tricarbonyl nitrosyl. Chem. Vap. Deposition 4, 183 (1998).

    Article  CAS  Google Scholar 

  41. N. Deo, M.F. Bain, J.H. Montgomery, and H.S. Gamble: Study of magnetic properties of thin cobalt films deposited by chemical vapour deposition. J. Mater. Sci.: Mater. Electron. 16, 387 (2005).

    CAS  Google Scholar 

  42. H.B.R. Lee, J.Y. Son, and H. Kim: Nitride mediated epitaxy of CoSi2 through self-interlayer-formation of plasma-enhanced atomic layer deposition Co. Appl. Phys. Lett. 90, 213509 (2007).

    Article  CAS  Google Scholar 

  43. H.B.R. Lee and H. Kim: High-quality cobalt thin films by plasma-enhanced atomic layer deposition. Electrochem. Solid-State Lett. 9, G323 (2006).

    Article  CAS  Google Scholar 

  44. K. Lee, K. Kim, T. Park, H. Jeon, Y. Lee, J. Kim, and S. Yeom: Characteristics of Ti-capped Co films deposited by a remote plasma ALD method using cyclopentadienylcobalt dicarbonyl. J. Electrochem. Soc. 154, H899 (2007).

    Article  CAS  Google Scholar 

  45. T. Pugh, S.D. Cosham, J.A. Hamilton, A.J. Kingsley, and A.L. Johnson: Cobalt(III) diazabutadiene precursors for metal deposition: Nanoparticle and thin film growth. Inorg. Chem. 52, 13719 (2013).

    Article  CAS  Google Scholar 

  46. K. Lee, K. Kim, H. Jeon, Y. Lee, J. Kim, and S. Yeom: Characteristics of cobalt films deposited by using a remote plasma ALD method with a CpCo(CO)2 precursor. J. Korean Phys. Soc. 50, 1141 (2007).

    Article  CAS  Google Scholar 

  47. K. Kim, K. Lee, S. Han, T. Park, Y. Lee, J. Kim, S. Yeom, and H. Jeon: Comparison of co films deposited by remote plasma atomic layer deposition method with cyclopentadienylcobalt dicarbonyl [CpCo(CO)2] and dicobalt octacarbonyl [Co-2(CO)(8)]. Jpn. J. Appl. Phys. 46, L173 (2007).

    Article  CAS  Google Scholar 

  48. B.S. Lim, A. Rahtu, J.S. Park, and R.G. Gordon: Synthesis and characterization of volatile, thermally stable, reactive transition metal amidinates. Inorg. Chem. 42, 7951 (2003).

    Article  CAS  Google Scholar 

  49. M. Dai, J. Kwon, M.D. Halls, R.G. Gordon, and Y.J. Chabal: Surface and interface processes during atomic layer deposition of copper on silicon oxide. Langmuir 26, 3911 (2010).

    Article  CAS  Google Scholar 

  50. S.H. Han, S.M. George, G.Y. Lee, J.H. Han, B.K. Park, C.G. Kim, S.U. Son, M.S. Lah, and T-M. Chung: New heteroleptic cobalt precursors for deposition of cobalt-based thin films. ACS Omega 2, 5486 (2017).

    Article  CAS  Google Scholar 

  51. L.C. Kalutarage, P.D. Martin, M.J. Heeg, and C.H. Winter: Volatile and thermally stable mid to late transition metal complexes containing alpha-imino alkoxide ligands, a new strongly reducing coreagent, and thermal atomic layer deposition of Ni, Co, Fe, and Cr metal films. J. Am. Chem. Soc. 135, 12588 (2013).

    Article  CAS  Google Scholar 

  52. M.M. Kerrigan, J.P. Klesko, S.M. Rupich, C.L. Dezelah, R.K. Kanjolia, Y.J. Chabal, and C.H. Winter: Substrate selectivity in the low temperature atomic layer deposition of cobalt metal films from bis(1,4-di-tert-butyl-1,3-diazadienyl) cobalt and formic acid. J. Chem. Phys. 146, 052813 (2017).

    Article  CAS  Google Scholar 

  53. P.A. Premkumar, A. Turchanin, and N. Bahlawane: Effect of solvent on the growth of Co and Co2C using pulsed-spray evaporation chemical vapor deposition. Chem. Mater. 19, 6206 (2007).

    Article  CAS  Google Scholar 

  54. P.A. Premkumar, N. Bahlawane, G. Reiss, and K. Kohse-Hoeinghaus: CVD of metals using alcohols and metal acetylacetonates, part II: Role of solvent and characterization of metal films made by pulsed spray evaporation CVD. Chem. Vap. Deposition 13, 227 (2007).

    Article  CAS  Google Scholar 

  55. M. Hojo, R. Masuda, Y. Kokuryo, H. Shioda, and S. Matsuo: Electrophilic substitutions of olefinic hydrogens. 2. Acylation of vinyl ethers and N-vinyl amides. Chem. Lett. 5, 499 (1976).

    Article  Google Scholar 

  56. J. Schlafer, D. Graf, G. Fornalczyk, A. Mettenborger, and S. Mathur: Fluorinated cerium(IV) enaminolates: Alternative precursors for chemical vapor deposition of CeO2 thin films. Inorg. Chem. 55, 5422 (2016).

    Article  CAS  Google Scholar 

  57. D.M. Hausmann and R.G. Gordon: Surface morphology and crystallinity control in the atomic layer deposition (ALD) of hafnium and zirconium oxide thin films. J. Cryst. Growth 249, 251 (2003).

    Article  CAS  Google Scholar 

  58. J. Keranen, C. Guimon, E. Liskola, A. Auroux, and L. Niinisto: Atomic layer deposition and surface characterization of highly dispersed titania/silica-supported vanadia catalysts. Catal. Today 78, 149 (2003).

    Article  CAS  Google Scholar 

  59. M.C. Biesinger, B.P. Payne, A.P. Grosvenor, L.W.M. Lau, A.R. Gerson, and R.S. Smart: Resolving surface chemical states in XPS analysis of first row transition metals, oxides and hydroxides: Cr, Mn, Fe, Co, and Ni. Appl. Surf. Sci. 257, 2717 (2011).

    Article  CAS  Google Scholar 

  60. A. Sasinska, D. Ritschel, L. Czympiel, and S. Mathur: Metallic copper thin films grown by plasma-enhanced atomic layer deposition of air stable precursors. Adv. Eng. Mater. 19, 1600593 (2017).

    Article  CAS  Google Scholar 

  61. K.K. Choi, J.H. Yun, and S.W. Rhee: Effect of hydrogen remote plasma annealing on the characteristics of copper film. Thin Solid Films 429, 255 (2003).

    Article  CAS  Google Scholar 

  62. M. Kariniemi, J. Niinisto, M. Vehkamaki, M. Kemell, M. Ritala, M. Leskela, and M. Putkonen: Conformality of remote plasma-enhanced atomic layer deposition processes: An experimental study. J. Vac. Sci. Technol., A 30, 01A115 (2012).

    Article  CAS  Google Scholar 

  63. H.C.M. Knoops, E. Langereis, M.C.M. van de Sanden, and W.M.M. Kessels: Conformality of plasma-assisted ALD: Physical processes and modeling. J. Electrochem. Soc. 157, G241 (2010).

    Article  CAS  Google Scholar 

  64. P. Schindler, M. Logar, J. Provine, and F.B. Prinz: Enhanced step coverage of TiO2 deposited on high aspect ratio surfaces by plasma-enhanced atomic layer deposition. Langmuir 31, 5057 (2015).

    Article  CAS  Google Scholar 

  65. N.S. Mcintyre and M.G. Cook: X-ray photoelectron studies on some oxides and hydroxides of cobalt, nickel, and copper. Anal. Chem. 47, 2208 (1975).

    Article  CAS  Google Scholar 

  66. T. Choudhury, S.O. Saied, J.L. Sullivan, and A.M. Abbot: Reduction of oxides of iron, cobalt, titanium and niobium by low-energy ion-bombardment. J. Phys. D: Appl. Phys. 22, 1185 (1989).

    Article  CAS  Google Scholar 

Download references

ACKNOWLEDGMENTS

All authors gratefully acknowledge Dr. L. Rebohle, Dr. J. Winkelmann, and Dr. R. Heller from Helmholtz-Zentrum Dresden-Rossendorf for performing nuclear reaction analysis and for helpful discussions. This work has been conducted with financial support by SIEMENS AG, which is gratefully acknowledged. S.M. and his group are thankful to the University of Cologne and the Helmholtz-Center Berlin for providing the infrastructural support. A.S. is thankful for financial support from the BMBF in the frame of KMU-innovativ NANOFLEX (03X0125C). J.L. is thankful to Fonds der chemischen Industrie for a Ph.D. fellowship. The financial support in the framework of the DFG priority program (SPP 1613; “Fuels Produced Regeneratively Through Light-Driven Water Splitting: Clarification of the Elemental Processes Involved and Prospects for Implementation in Technological Concepts”) is gratefully acknowledged. The authors S.M. and S.H.C. acknowledged a strategic collaboration between the Christiansen research group at Helmholtz-Zentrum Berlin für Materialien und Energie and the RG Mathur. S.H.C. acknowledges the Helmholtz-Zentrum Berlin für Materialien und Energie and financial support by the DFG within the CRC951-Hybrid Inorganic/Organic Systems for Opto-Electronics (HIOS).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sanjay Mathur.

Additional information

These authors contributed equally to this work.

Supplementary Material

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sasinska, A., Leduc, J., Frank, M. et al. Competitive interplay of deposition and etching processes in atomic layer growth of cobalt and nickel metal films. Journal of Materials Research 33, 4241–4250 (2018). https://doi.org/10.1557/jmr.2018.379

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/jmr.2018.379

Navigation