Skip to main content
Log in

Epitaxial lanthanide oxide thin films on Si for high-k gate dielectric application: Growth optimization and defect passivation

  • Invited Feature Paper
  • Published:
Journal of Materials Research Aims and scope Submit manuscript

Abstract

Epitaxial layers of insulating binary lanthanide oxides have been considered as potential alternative to conventional SiO2 for gate dielectric application in future Si-based MOSFET devices, which was investigated in more detail for epitaxial Gd2O3 and Nd2O3 as model systems. Additionally, the ability to integrate epitaxial dielectric barrier layers into Si structures can usher also in a variety of novel applications involving oxide/silicon/oxide heterostructures in diverse nanoelectronic and quantum-effect devices. Although epitaxial layers of such ionic oxides with excellent structural quality can be grown using molecular beam epitaxy, they often exhibit poor electrical properties such as high leakage current density, flat band instability, poor reliability etc. owing to the presence of electrically active charge defects, generated either during the oxide layer growth or typical subsequent CMOS process steps. Based on the origin and individual character of these defects, we review various aspects of defect prevention and passivation which lead to a significant improvement in the dielectric properties of the heterostructures.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

FIG. 1
FIG. 2
FIG. 3
FIG. 4
FIG. 5
FIG. 6
FIG. 7
FIG. 8
FIG. 9
FIG. 10
FIG. 11
FIG. 12
FIG. 13
FIG. 14
FIG. 15
FIG. 16
FIG. 17
FIG. 18
FIG. 19
FIG. 20
FIG. 21

Similar content being viewed by others

References

  1. G.E. Moore: Cramming more components onto integrated circuits. Electronics 38, 114ff (1965).

    Google Scholar 

  2. M.L. Green, E.P. Gusev, R. Degraeve, and E.L. Garfunkel: Ultrathin (<4 nm) SiO2 and Si–O–N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits. J. Appl. Phys. 90, 2057 (2001).

    Article  CAS  Google Scholar 

  3. G. Pahionni: Defects in SiO2 and related dielectrics: Science and Technology (Kluwer Academic Publishers, Dordrecht, 2000); p. 1.

    Google Scholar 

  4. H.J. Osten, M. Czernohorsky, R. Dargis, A. Laha, D. Kuehne, E. Bugiel, and A. Fissel: Integration of functional epitaxial oxides into silicon: From high-k application to nanostructures. Microelectron. Eng. 84, 2222 (2007).

    Article  CAS  Google Scholar 

  5. G. Timp, J. Bude, K.K. Bourdelle, J. Garno, A. Ghetti, H. Gossmann, M. Green, G. Forsyth, Y. Kim, R. Kleiman, F. Klemens, A. Kornblit, C. Lochstampfor, W. Mansfield, S. Moccio, T. Sorsch, D.M. Tennant, W. Timp, and R. Tung: The ballistic nano-transistor. IEDM Tech. Dig. 99, 55 (1999).

    Google Scholar 

  6. The latest edition of the ITRS road map can be found at http://www.itrs2.net.

  7. Z.J. Ma, J.C. Chen, Z.H. Liu, J.T. Crick, Y.C. Cheng, C. Hu, and P.K. Ko: Suppression of boron penetration in P+ polysilicon gate P-MOSFET’s using low-temperature gate-oxide N2O anneal. IEEE Electron Device Lett. 15, 109 (1994).

    Article  CAS  Google Scholar 

  8. M. Cao, P.V. Voorde, M. Cox, and W. Greene: Boron diffusion and penetration in ultrathin oxide with poly-Si gate. IEEE Electron Device Lett. 19, 291 (1998).

    Article  CAS  Google Scholar 

  9. J. Robertson: High dielectric constant gate oxides for metal oxide Si transistors. Rep. Prog. Phys. 69, 327 (2006).

    Article  CAS  Google Scholar 

  10. E.P. Gusev, H-C. Lu, E.L. Garfunkel, T. Gustafsson, and M.L. Green: Growth and characterization of ultrathin nitrided silicon oxide films. IBM J. Res. Dev. 43, 265 (1999).

    Article  CAS  Google Scholar 

  11. J.D. Plummer and P.B. Griffin: Material and process limits in silicon VLSI technology. Proc. IEEE 89, 240 (2001).

    Article  CAS  Google Scholar 

  12. G.D. Wilk, R.M. Wallace, and J.M. Anthony: High-k gate dielectrics: Current status and materials properties considerations. Appl. Phys. Rev. 89, 5243 (2001).

    Article  CAS  Google Scholar 

  13. K.J. Hubbard and D.G. Schlom: Thermodynamic stability of binary oxides in contact with silicon. J. Mater. Res. 11, 2757 (1996).

    Article  CAS  Google Scholar 

  14. K. Cho: First-principles modeling of high-k gate dielectric materials. Comput. Mater. Sci. 23, 43 (2002).

    Article  CAS  Google Scholar 

  15. J. Robertson: Band offsets of wide-band-gap oxides and implications for future electronic devices. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 18, 1785 (2000).

    Article  CAS  Google Scholar 

  16. J. Lucovsky: Transition from thermally grown gate dielectrics to deposited gate dielectrics for advanced silicon devices: A classification scheme based on bond ionicity. J. Vac. Sci. Technol., A 19, 1553 (2001).

    Article  CAS  Google Scholar 

  17. G.D. Wilk and R.M. Wallace: Electrical properties of hafnium silicate gate dielectrics deposited directly on silicon. Appl. Phys. Lett. 74, 2854 (1999).

    Article  CAS  Google Scholar 

  18. R.J. Potter, P.A. Marshall, P.R. Chalker, S. Taylor, A.C. Jones, T.C.Q. Noakes, and P. Bailey: Characterization of hafnium aluminate gate dielectrics deposited by liquid injection metalorganic chemical vapor deposition. Appl. Phys. Lett. 84, 4119 (2004).

    Article  CAS  Google Scholar 

  19. Z.M. Rittersma, E. Naburgh, T. Dao, A.H.C. Hendriks, W.F.A. Besling, E. Tois, E. Vainonen-Ahlgren, M. Tuominen, and S. Haukka: Physical and electrical properties of Zr-silicate dielectric layers deposited by atomic layer deposition. Electrochem. Solid-State Lett. 6, F21 (2003).

    Article  CAS  Google Scholar 

  20. P.D. Kirsch, C.S. Kang, J. Lozano, J.C. Lee, and J.G. Ekerdt: Electrical and spectroscopic comparison of HfO2/Si interfaces on nitrided and unnitrided Si(100). J. Appl. Phys. 91, 4353 (2002).

    Article  CAS  Google Scholar 

  21. K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, M. Brazier, M. Buehler, A. Cappellani, R. Chau, C-H. Choi, G. Ding, K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, J. He, J. Hicks, R. Huessner, D. Ingerly, P. Jain, R. James, L. Jong, S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, P. Vandervoorn, S. Williams, and K. Zawadzki: A 45 nm logic technology with high-k + metal gate transistors, strained silicon, 9 Cu interconnect layers, 193 nm dry patterning, and 100% Pb-free packaging. Proc. Tech. Dig. Int. Electron Dev. Meeting, 247 (2007).

  22. H.J. Osten, A. Laha, M. Czernohorsky, E. Bugiel, R. Dargis, and A. Fissel: Introducing crystalline rare-earth oxides into Si technologies. Phys. Status Solidi A 205, 695 (2008).

    Article  CAS  Google Scholar 

  23. G.V. Samsonov: The Oxide Handbook, 2nd ed. (IFI/Plenum, New York, 1982).

    Book  Google Scholar 

  24. J. Robertson and K. Xiong: Electronic structure and band offsets of lanthanide oxides. Top. Appl. Phys. 106, 313 (2007).

    Article  CAS  Google Scholar 

  25. M. Foëx and J.P. Traverse: Investigations about crystalline transformation in rare earths sesquioxides at high temperatures (in French). Rev. Int. Hautes Temp. Refract. 3, 429 (1966).

    Google Scholar 

  26. H. Nohira, W. Tsai, W. Besling, E. Young, J. Petry, T. Conard, W. Vandervorst, S. De Gendt, M. Heyns, J. Maes, and M. Tuominen: Characterization of ALCVD-Al2O3 and ZrO2 layer using X-ray photoelectron spectroscopy. J. Non-Cryst. Solids 303, 83 (2002).

    Article  CAS  Google Scholar 

  27. M. Badylevich, S. Shamuilia, V.V. Afanas’ev, A. Stesmans, A. Laha, H.J. Osten, and A. Fissel: Investigation of the electronic structure at interfaces of crystalline and amorphous Gd2O3 thin layers with silicon substrates of different orientations. Appl. Phys. Lett. 90, 252101 (2007).

    Article  CAS  Google Scholar 

  28. A. Roy Chaudhuri, A. Fissel, and H.J. Osten: Superior dielectric properties for template assisted grown (100) oriented Gd2O3 thin films on Si(100). Appl. Phys. Lett. 104, 012906 (2014).

    Article  CAS  Google Scholar 

  29. A. Fissel, Z. Elassar, O. Kirfel, E. Bugiel, M. Czernohorsky, and H.J. Osten: Interface formation during molecular beam epitaxial growth of neodymium oxide on silicon. J. Appl. Phys. 99, 074105 (2006).

    Article  CAS  Google Scholar 

  30. A. Fissel, H.J. Osten, and E. Bugiel: Towards understanding epitaxial growth of alternative high-KK dielectrics on Si(001): Application to praseodymium oxide. J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 21, 1765 (2003).

    Article  CAS  Google Scholar 

  31. H.J. Osten, J.P. Liu, E. Bugiel, H.J. Mussig, and P. Zaumseil: Growth of crystalline praseodymium oxide on silicon. J. Cryst. Growth 235, 229 (2002).

    Article  CAS  Google Scholar 

  32. F.P. Netzer: Rare earth overlayers on silicon. J. Phys.: Condens. Matter 7, 991 (1995).

    CAS  Google Scholar 

  33. D.P. Norton, C. Park, Y.E. Lee, and J.D. Budai: Strontium silicide termination and silicate epitaxy on (001). J. Vac. Sci. Technol., B: Microelectron. Nanometer Struct. 20, 257 (2002).

    Article  CAS  Google Scholar 

  34. A. Fissel, J. Dabrowski, and H.J. Osten: Photoemission and ab initio theoretical study of interface and film formation during epitaxial growth and annealing of praseodymium oxide on Si(001). J. Appl. Phys. 91, 8986 (2002).

    Article  CAS  Google Scholar 

  35. E. Bugiel, H.J. Osten, A. Fissel, O. Kirfel, and M. Czernohosky: TEM investigations of epitaxial high-k dielectrics on silicon. Microscopy of Semiconducting Materials 107, 343 (2005).

    Article  CAS  Google Scholar 

  36. D. Schmeißer, J. Dabrowski, and H.J. Müssig: Pr2O3/Si(001) interface reactions and stability. Mater. Sci. Eng., B 109, 30 (2004).

    Article  CAS  Google Scholar 

  37. M. Czernohorsky, E. Bugiel, H.J. Osten, A. Fissel, and O. Kirfel: Impact of oxygen supply during growth on the electrical properties of crystalline Gd2O3 thin films on Si(001). Appl. Phys. Lett. 88, 152905 (2006).

    Article  CAS  Google Scholar 

  38. H.D.B. Gottlob, T. Echtermeyer, M. Schmidt, T. Mollenhauer, J.K. Efavi, T. Wahlbrink, M.C. Lemme, M. Czernohorsky, E. Bugiel, A. Fissel, H.J. Osten, and H. Kurz: 0.86-nm CET gate stacks with epitaxial Gd2O3 high-k dielectrics and FUSI NiSi metal electrodes. IEEE Electron Device Lett. 27, 814 (2006).

    Article  CAS  Google Scholar 

  39. Q.Q. Sun, A. Laha, S.J. Ding, D.W. Zhang, H.J. Osten, and A. Fissel: Effective passivation of slow interface states at the interface of single crystalline Gd2O3 and Si(100). Appl. Phys. Lett. 92, 152908 (2008).

    Article  CAS  Google Scholar 

  40. A. Laha, A. Fissel, and H.J. Osten: Effect of Ge passivation on interfacial properties of crystalline Gd2O3 thin films grown on Si substrates. Appl. Phys. Lett. 96, 072903 (2010).

    Article  CAS  Google Scholar 

  41. G.S. Lujan, W. Magnus, L.A. Ragnarsson, S. Kubicek, S.D. Gendt, M. Heyns, and K.D. Meyer: Modelling mobility degradation due to remote Coulomb scattering from dielectric charges and its impact on MOS device performance. Microelectron. Reliab. 45, 794 (2005).

    Article  Google Scholar 

  42. M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger: Carrier transport in HfO2/metal gate MOSFETs: Physical insight into critical parameters. IEEE Trans. Electron Devices 53, 759 (2006).

    Article  CAS  Google Scholar 

  43. A.N. Nazarov, Y.V. Gomeniuk, Y.Y. Gomeniuk, H.D.B. Gottlob, M. Schmidt, M.C. Lemme, M. Czernohorsky, and H.J. Osten: Charge trapping in ultrathin Gd2O3 high-k dielectric. Microelectron. Eng. 84, 1968 (2007).

    Article  CAS  Google Scholar 

  44. K. Xiong, J. Robertson, M. Gibson, and S.J. Clark: Defect energy levels in HfO2 high-dielectric-constant gate oxide. Appl. Phys. Lett. 87, 183505 (2005).

    Article  CAS  Google Scholar 

  45. K. Xiong, J. Robertson, and S.J. Clark: Defect states in the high-dielectric-constant gate oxide LaAlO3. Appl. Phys. Lett. 89, 022907 (2006).

    Article  CAS  Google Scholar 

  46. G. Holzlechner, D. Kastner, C. Slouka, H. Hutter, and J. Feig: Oxygen vacancy redistribution in PbZrxTi1−xO3 (PZT) under the influence of an electric field. Solid State Ionics 262, 625 (2014).

    Article  CAS  Google Scholar 

  47. A. Laha, B. Ai, P.R.P. Babu, A. Fissel, and H.J. Osten: Impact of carbon incorporation into epitaxial Gd2O3 thin films on silicon: An experimental study on electrical properties. Appl. Phys. Lett. 99, 152902 (2011).

    Article  CAS  Google Scholar 

  48. A. Laha, H.J. Osten, and A. Fissel: Influence of interface layer composition on the electrical properties of epitaxial Gd2O3 thin films for high-K application. Appl. Phys. Lett. 90, 113508 (2007).

    Article  CAS  Google Scholar 

  49. N. Wu, Q. Zhang, C. Zhu, D.S.H. Chan, M.F. Li, N. Balasubramanian, A. Chin, and D.L. Kwong: Alternative surface passivation on germanium for metal-oxide-semiconductor applications with high-k gate dielectric. Appl. Phys. Lett. 85, 4127 (2004).

    Article  CAS  Google Scholar 

  50. M. Houssa, G. Pourtois, B. Kaczer, B.D. Jaeger, F.E. Leys, D. Neils, K. Paredis, A. Vantomme, M. Caymax, M. Meuris, and M.M. Heyns: Experimental and theoretical study of Ge surface passivation. Microelectron. Eng. 84, 2267 (2007).

    Article  CAS  Google Scholar 

  51. N. Taoka, M. Harada, Y. Yamashita, T.T. Yamamoto, N. Sugiyama, and S.I. Takagi: Effects of Si passivation on Ge metal-insulator-semiconductor interface properties and inversion-layer hole mobility. Appl. Phys. Lett. 92, 113511 (2008).

    Article  CAS  Google Scholar 

  52. H.F. Ma, Z.H. Qin, M.C. Xu, D.X. Shi, H-J. Gao, S. Wang, and S.T. Pantelides: Formation and evolution of a self-organized hierarchy of Ge nanostructures on Si(111)-(7 × 7): STM observations and first-principles calculations. Phys. Rev. B: Condens. Matter Mater. Phys. 75, 165403 (2007).

    Article  CAS  Google Scholar 

  53. A. Laha, H.J. Osten, and A. Fissel: Impact of Si substrate orientations on electrical properties of crystalline Gd2O3 thin films for high-K application. Appl. Phys. Lett. 89, 143514 (2006).

    Article  CAS  Google Scholar 

  54. J.E. Rowe and H. Ibach: Surface and bulk contributions to ultraviolet photoemission spectra of silicon. Phys. Rev. Lett. 32, 421 (1974).

    Article  CAS  Google Scholar 

  55. R.I.G. Uhrberg, G.V. Hansson, J.M. Nicholls, P.E.S. Persson, and S.A. Flodström: Photoemission study of the surface and bulk electronic structures of Si(111)7 × 7 and Si(111)√3 × √3:Al. Phys. Rev. B: Condens. Matter Mater. Phys. 31, 3805 (1985).

    Article  CAS  Google Scholar 

  56. J.A. Carlisle, T. Miller, and T-C. Chaing: Ge chemisorption and alloying on the Si(111)-(7 × 7) surface. Phys. Rev. B: Condens. Matter Mater. Phys. 49, 13600 (1994).

    Article  CAS  Google Scholar 

  57. P. Martensson, W.X. BNi, G.V. Hansson, J. Michael Nicholls, and B. Reihl: Surface electronic structure of Si(111)7 × 7-Ge and Si(111)5 × 5-Ge studied with photoemission and inverse photoemission. Phys. Rev. B: Condens. Matter Mater. Phys. 36, 5974 (1987).

    Article  CAS  Google Scholar 

  58. K. Kajiyama, Y. Tanishiro, and K. Takayanagi: Reconstructions and phase transitions of Ge on the Si(111)7 × 7 surface: I. Structural changes. Surf. Sci. 222, 38 (1989).

    Article  CAS  Google Scholar 

  59. Y.L. Wang, H-J. Gao, H.M. Guo, S. Wang, and S.T. Pantelides: Bonding configurations and collective patterns of Ge atoms adsorbed on Si(111)-(7 × 7). Phys. Rev. Lett. 94, 106101 (2005).

    Article  CAS  Google Scholar 

  60. M.C. Xu, H.F. Ma, W. Ji, B. Yang, and H-J. Gao: Growth of Ge hexagonal meshwork films on Si(111)-7 × 7. Nanotechnology 17, 4413 (2006).

    Article  CAS  Google Scholar 

  61. G. Pourtois, M. Houssa, B.D. Jaeger, B. Kaczer, F. Leys, M. Meuris, M. Caymax, G. Groeseneken, and M. Heyns: Threshold voltage shifts in Si passivated (100)Ge p-channel field effect transistors: Insights from first-principles modeling. Appl. Phys. Lett. 91, 023506 (2007).

    Article  CAS  Google Scholar 

  62. E. Fontes, J.R. Patel, and F. Comin: Direct measurement of the asymmetric dimer buckling of Ge on Si(001). Phys. Rev. Lett. 70, 2790 (1993).

    Article  CAS  Google Scholar 

  63. L. Patthey, F.L. Bullock, T. Abukawa, S. Kono, and L.S.O. Johansson: Mixed Ge–Si dimer growth at the Ge/Si(001)-(2 × 1) surface. Phys. Rev. Lett. 75, 2538 (1995).

    Article  CAS  Google Scholar 

  64. H. Oyanagi, K. Sakamoto, R. Shioda, Y. Kuwahara, and K. Haga: Ge overlayers on Si(001) studied by surface-extended X-ray-absorption fine structure. Phys. Rev. B: Condens. Matter Mater. Phys. 52, 5824 (1995).

    Article  CAS  Google Scholar 

  65. A. Laha, A. Fissel, and H.J. Osten: Effective control on flat band voltage of epitaxial lanthanide oxide based metal oxide semiconductor capacitors by interfacial carbon. Appl. Phys. Lett. 102, 202902 (2013).

    Article  CAS  Google Scholar 

  66. P. Shekhter, A. Roy Chaudhuri, A. Laha, S. Yehezkel, A. Shriki, H.J. Osten, and M. Eizenberg: The influence of carbon doping on the performance of Gd2O3 as high-k gate dielectric. Appl. Phys. Lett. 105, 262901 (2014).

    Article  CAS  Google Scholar 

  67. G. Bonnet, M. Lachkar, J.C. Colson, and J.P. Larpin: Characterization of thin solid films of rare earth oxides formed by the metallo-organic chemical vapour deposition technique, for high temperature corrosion applications. Thin Solid Films 261, 31 (1995).

    Article  CAS  Google Scholar 

  68. T.M. Pan, X-C. Wu, and L-C. Yen: Effects of postdeposition annealing on physical and electrical properties of high-k Yb2TiO5 dielectrics. J. Vac. Sci. Technol., A 28, 1084 (2010).

    Article  CAS  Google Scholar 

  69. N. Umezawa, K. Shiraishi, T. Ohno, H. Watanabe, T. Chikyow, K. Torii, K. Yamabe, K. Yamada, H. Kitajima, and T. Arikado: First-principles studies of the intrinsic effect of nitrogen atoms on reduction in gate leakage current through Hf-based high-k dielectrics. Appl. Phys. Lett. 86, 143507 (2005).

    Article  CAS  Google Scholar 

  70. K. Seo, R. Sreenivasan, P. McIntyre, and K. Saraswat: Improvement in high-k(HfO2/SiO2) reliability by incorporation of fluorine. IEEE Electron Device Lett. 27, 821 (2006).

    Article  CAS  Google Scholar 

  71. C-H. Choi, S.J. Rhee, T.S. Jeon, N. Lu, J.H. Sim, R. Clark, M. Niwa, and D.L. Kwong: Thermally stable CVD HfOxNy advanced gate dielectrics with poly-Si gate electrode. In Digest. International Electron Devices Meeting (IEEE, Piscataway, 2002); p. 857.

    Chapter  Google Scholar 

  72. X.P. Wanga, M.F. Lia, A. Chin, C.X. Zhu, J. Shao, W. Lu, X.C. Shen, X.F. Yu, R. Chi, C. Shen, A.C.H. Huan, J.S. Pan, A.Y. Du, P. Lo, D.S.H. Chan, and D.L. Kwong: Physical and electrical characteristics of high-κ gate dielectric Hf(1−x)LaxOy. Solid-State Electron. 50, 986 (2006).

    Article  CAS  Google Scholar 

  73. A. Roy Chaudhuri, A. Fissel, V.R. Archakam, and H.J. Osten: Improving dielectric properties of epitaxial Gd2O3 thin films on silicon by nitrogen doping. Appl. Phys. Lett. 102, 022904 (2013).

    Article  CAS  Google Scholar 

  74. A. Roy Chaudhuri, A. Fissel, and H.J. Osten: Investigation of band offsets and direct current leakage properties of nitrogen doped epitaxial Gd2O3 thin films on Si. J. Appl. Phys. 113, 184108 (2013).

    Article  CAS  Google Scholar 

  75. G. Dutta, K.P.S.S. Hembram, G. Mohan Rao, and U.V. Waghmare: Effects of O vacancies and C doping on dielectric properties of ZrO2: A first-principles study. Appl. Phys. Lett. 89, 202904 (2006).

    Article  CAS  Google Scholar 

  76. K. Chu, J.P. Chang, M.L. Steigerwald, R.M. Flemimg, R.L. Opila, D.V. Lang, R.B. Van Dover, and C.D.W. Jones: Material and electrical characterization of carbon-doped Ta2O5 films for embedded dynamic random access memory applications. J. Appl. Phys. 91, 308 (2002).

    Article  CAS  Google Scholar 

  77. A. Laha, A. Bin, P.R.P. Babu, A. Fissel, and H.J. Osten: Enhanced electrical properties of carbon doped epitaxial Gd2O3 thin films on Si substrates. ECS Trans. 41, 101 (2011).

    Article  CAS  Google Scholar 

  78. G. Shang, P.W. Peacock, and J. Robertson: Stability and band offsets of nitrogenated high-dielectric-constant gate oxides. Appl. Phys. Lett. 84, 106 (2004).

    Article  CAS  Google Scholar 

  79. R. Gillen, S.J. Clark, and J. Robertson: Nature of the electronic band gap in lanthanide oxides. Phys. Rev. B: Condens. Matter Mater. Phys. 87, 125116 (2013).

    Article  CAS  Google Scholar 

  80. J. Robertson, K. Xiong, and S.J. Clark: Defect energy levels in high-k gate oxides. In Defects in High-k Gate Dielectric Stacks NATO Science Series, Vol. 220, E. Gusev, ed. (Springer, The Netherlands, 2006); p. 175.

    Chapter  Google Scholar 

  81. S. Guha and V. Naryan: Oxygen vacancies in high dielectric constant oxide-semiconductor films. Phys. Rev. Lett. 98, 196101 (2007).

    Article  CAS  Google Scholar 

  82. R.M. Fleming, D.V. Lang, C.D.W. Jones, M.L. Steigerwald, D.W. Murphy, G.B. Alers, Y-H. Wong, R.b. van Dover, J.R. Kwo, and A.M. Sergent: Defect dominated charge transport in amorphous Ta2O5 thin films. J. Appl. Phys. 88, 850 (2000).

    Article  CAS  Google Scholar 

  83. P. Broqvist and A. Pasquarello: Oxygen vacancy in monoclinic HfO2: A consistent interpretation of trap assisted conduction, direct electron injection, and optical absorption experiments. Appl. Phys. Lett. 89, 262904 (2006).

    Article  CAS  Google Scholar 

  84. K. Xiong and J. Robertson: Oxygen vacancies in high dielectric constant oxides La2O3, Lu2O3, and LaLuO3. Appl. Phys. Lett. 95, 022903 (2009).

    Article  CAS  Google Scholar 

  85. D. Liu, S.J. Clark, and J. Robertson: Oxygen vacancy levels and electron transport in Al2O3. Appl. Phys. Lett. 96, 032905 (2010).

    Article  CAS  Google Scholar 

  86. K. Tse, D. Liu, K. Xiong, and J. Robertson: Oxygen vacancies in high-k oxides. Microelectron. Eng. 84, 2028 (2007).

    Article  CAS  Google Scholar 

  87. R. Meyer, R. Liedtke, and R. Waser: Oxygen vacancy migration and time-dependent leakage current behavior of Ba0.3Sr0.7TiO3 thin films. Appl. Phys. Lett. 86, 112904 (2005).

    Article  CAS  Google Scholar 

  88. J. Wang and S.T. McKinstry: Oxygen vacancy motion in Er-doped barium strontium titanate thin films. Appl. Phys. Lett. 89, 172906 (2006).

    Article  CAS  Google Scholar 

  89. H. Li and J. Robertson: Defect compensation in LaAlO3 perovskite-based high dielectric constant oxides. J. Appl. Phys. 112, 034108 (2012).

    Article  CAS  Google Scholar 

  90. J. McPherson, J-Y. Kim, A. Shanware, and H. Mogul: Thermochemical description of dielectric breakdown in high dielectric constant materials. Appl. Phys. Lett. 82, 2121 (2003).

    Article  CAS  Google Scholar 

  91. J. McPherson, R.B. Khamankar, and A. Shanware: Complementary model for intrinsic time-dependent dielectric breakdown in SiO2 dielectrics. J. Appl. Phys. 88, 5351 (2000).

    Article  CAS  Google Scholar 

Download references

ACKNOWLEDGMENT

This paper reviews research results we have been working on for the last 10 years at the Institute of Electronic Materials and Devices, Leibniz University Hannover. We are grateful to all PhD students and scientist working with us on particular aspects of that challenging project. We also would like to thank all partners and friends in Germany and all over the world for intense collaboration and help. Finally, we acknowledge the support of various organisations, in particular DFG (Deutsche Forschungsgemeinschaft), BMBF (Bundesministerium für Forschung und Technologie), DAAD (Deutscher Akademischer Austauschdienst), Humboldt Foundation, and GIF (German-Israel Foundation for Scientific Research and Development).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ayan Roy Chaudhuri.

Additional information

This paper has been selected as an Invited Feature Paper.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Roy Chaudhuri, A., Fissel, A. & Osten, H.J. Epitaxial lanthanide oxide thin films on Si for high-k gate dielectric application: Growth optimization and defect passivation. Journal of Materials Research 32, 699–716 (2017). https://doi.org/10.1557/jmr.2017.22

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1557/jmr.2017.22

Navigation