Introduction

Manipulation of electromagnetic (EM) waves in refraction and reflection through various structures is of fundamental importance in a great number of applications. Through interaction between light waves and nanostructure it is possible to control the light intensity, polarization and propagation direction. Conventionally, light has been manipulated in transmission using refractive lenses, which are devices intended to focus the light in a single or various spots and then have evolved into numerous optical applications operating at all along the EM spectrum. The optical lens has been playing a critical role in almost all areas of science and technology since its invention appeared about 3000 years ago. Conventional lenses gradually change the phase and polarization of light during the propagation. It is difficult to accumulate sufficient phase change once the device size is further reduced to subwavelength scale due to the limited refractive indices of natural materials. In addition, although these systems can obtain the images with high quality, they are usually bulky, costly, and time-consuming to manufacture with high precision, which are significant limitations, particularly for applications such as portable and wearable devices.

To meet the growing requirement of device miniaturization and system integration, metasurfaces have emerged as a versatile platform for wavefront shaping [1,2,3,4,5,6,7]. Metasurfaces are one kind of such diffractive optical elements with flat subwavelength implementations, which consist of quasiperiodic arrays of light resonators (also called as meta-atoms) [8, 9]. It can be designed with large numbers of degrees of freedom to control the light wavefront, which enables considerable number of unprecedented functionalities. As a result, ultra-thin flat optics can be generated by deploying such metasurfaces in the design. Metasurfaces have been proposed for focusing [10,11,12,13,14], deflecting light [15, 16], changing polarization [17,18,19,20], generating holograms [17, 21,22,23,24,25,26,27,28,29], producing vortex beams [18, 30], manipulating thermalion [31,32,33], modulating light intensity [34,35,36], and optical cloaking [27]. Among all these potential optical applications, high-quality imaging of metelenses has received considerably renewed attention in the field of research in the last decade.

Metasurfaces are able to introduce abrupt local phase changes in the EM field on their surface by using different sub-wavelength sized resonators [37, 38]. This makes it possible to arbitrarily transform the incident phase profile to a new phase profile, assuming that we have a set of unit cells that are able to introduce any phase shift between 0 and 2π radians. The basic concept of metasurface lenses is that they convert an incident plane wave into a spherical wave by introducing different phase shifts along their lateral axis. Compared with that of state-of-the-art commercial objectives, image quality captured by optical systems with metalenses is as good and even better [39,40,41]. Metalenses seem to be potentially revolutionary in optical imaging due to its flat nature and compact size, multispectral acquisition and even off-axis focusing. However, Metalenses have the same problem of aberrations as ordinary lenses in use, so the elimination of aberrations, including monochromatic aberrations and chromatic aberrations is quite important for imaging and displaying. Chromatic aberration resulted from material dispersion, the resonant phase dispersion of unit cells in refractive optical components and different phase accumulation through light propagation makes the resulting focal spots wavelength dependent. Therefore, a variety of methods and technologies for improving image quality have been produced one after another.

Here, we will review the progress in the development of metalenses and its application, especially in imaging. This paper is organized into two major parts. Firstly, the basic characteristic parameters of existing or reported metalenses will be introduced and analyzed. Next, different types of state-of-the-art imaging technologies of metalenses mentioned in the first part used for machine vision, biomedical imaging and optical communication will be reviewed, followed by concluding remarks.

Review on the applications as imaging lens of metasurfaces

Metalenses as a practical application of metasurfaces are two-dimensional metamaterials [42, 43] in which the thickness dimension is ignored. Metamaterials themselves can be used to guide and control the flow of the EM wave and then the ultra-thin, flexible essence of matesurfaces to modulate light, which makes the metalens a product that combines all the above excellent characteristics and attracts intensive attention of researchers in related academic field [44]. Metasurfaces can be exploited to control the EM wave’s amplitude, phase and polarization with subswavelength resolution and engineer the wavefronts at distances less than the wavelength [45]. It is discriminated from the conventional optical imaging devices that wavefronts shaping of metalenses are achieved by phase accumulation of wave propagating in the medium [37, 46]. According to the generalized Snell’s law deduced by the Fermat principle and the law of conservation of momentum, the reflected wave and the transmitted wave can be arbitrarily redirected only by designing the spatial phase response of the optical resonators forming the optical interface [47, 48]. Some metalenses with significant characteristics are widely required in practical use [49]. Thus, in what follows, different efforts to control different-functionalities metalenses are made by numerous groups by utilizing different geometries and materials for different incident polarizations of EM wave. Among them, we reviewed several of the most crucial factors of the metalenses and their role in various imaging systems for many different purposes. All these aspects will eventually be reflected in the imaging behavior / quality of the lens, and may lead to color errors, image distortion, vignetting, image blur and so on.

Key figures of merit for metalenses

Focusing efficiency of metalenses

Like traditional lenses, when metalenses are exploited in the optical systems, we usually consider their focusing characteristics. When a single wavelength of EM wave is incident, the focus efficiency of metalenses is generally analyzed. The focusing efficiency is defined as the ratio of light intensity from the focal spot at corresponding focal plane to the light intensity reflected by a metallic mirror (or transmitted through a lens) with the same pixel sizes. Although visible planar lenses can be realized by diffractive components, high efficiency are difficult to attain because their constituent structures are of wavelength scale, which precludes an accurate phase profile. Until now, much efforts to improve the focus efficiency of metalenses have been made to improve lens behavior, such as improving imaging resolution. Several mechanisms of enhancing the focusing efficiency including employment of flat mirror, high-contrast index materials, all-dielectric materials or geometric phase have been proved to be feasible. Pors et al. designed a focusing plane mirror composed of the metal-insulator-metal configurations in which the top metal layer is a periodic array of nano-bricks of different sizes. The designed flat mirror that focuses a linearly polarized incident beam with focusing efficiency of 78% theoretically in a broadband wavelength regime from 700 nm 1000 nm, while the broadband focusing efficiency reaches approximately 30% experimentally. High NA and long focus length are realized by considering gradient metasurfaces and changing both lateral dimensions of meta-elements, which can be used in the radiation focusing of optical systems as is shown in Fig. 1(a) [50]. Due to the relative lower focusing efficiency of the reflective metasurface lens, transmissive lenses are also considered by researchers to enhance the focusing efficiency in Fig. 1(b). The metalenses, composed of high-contrast silicon nano-posts transmitarrays on glass, are fabricated by Faraon’s group in one lithographic step and the measured focusing efficiency is up to 82% in Fig. 1(d) [51]. The meta-elements are designed as the periodic circular amorphous silicon posts placed on the hexagonal lattice, enables the desired phase masks for the wavefront shaping od light at will in Fig. 1(c). The metalens with high NA can be integrated on the optoelectronic chip for imaging application. Latter, Cappasso and co-workers fabricated and designed high aspect ratio all-dielectric (titanium dioxide meta-atoms and silica substrate) metasurfaces as metalenses, achieving high diffraction-limited focusing efficiency of 86% at the wavelength of 405 nm in Fig. 1(e), while that of the other two wavelengths of 532 nm and 660 nm were 73% and 66%, respectively in Fig. 1(f) [52]. The designed metalens is utilized to image at different wavelengths of visible regime and gets the subwavelength features of object and high magnification of 170, which is comparable with commercial lens. Besides, the Solid-immersion type of focusing is realized by utilizing a kind of transmissive metalens that focuses the infrared incident light on the plane of detector after propagating through the relatively thick substrate, which is equivalent to using a very thick reflector for better focus in Fig. 1(g) [53]. Solid-immersion metalens that light from air is illuminated and then focused into the substrate material, is achieved with the maximum focusing efficiency up to 80% and the average focusing efficiency is 70% over a wavelength range of 3–5 μm as compared to in air in normal cases shown in Fig. 1(h) [53].

Fig. 1
figure 1

High focusing efficiencies of metalenses. (a) Schematic of the metal-dielectric-metal (gold-glass-gold) meta-element with fixed parameters Λ = 240 nm, ts = 50 nm, and t = 50 nm. The normally incident wave with wavelength of 800 nm is x-polarized. Top panel: SEM image (bar length is 1 μm) of the period array of gold nanobricks. Middle panel: a magnification SEM image of the structure. Bottom panel: the designed geometry [50]. (b) Focusing efficiency and intensity enhancement [50]. (c) Schematic of the aperiodic high-contrast transmitarrays and optical microscope image. Scale bar, 100 mm. Right penal: SEM images of the silicon posts [51]. Scale bars, 1 μm. (d) Focus FWHM spot size, transmission and focusing efficiency of the micro-lenses for devices with different focusing distances [51]. (e) Optical image of the metalens designed at the wavelength of 660 nm. Scale bar, 40 mm. SEM micrograph of the metalens [52]. Scale bar, 300 nm. (f) Measured focusing efficiency at wavelength of 660 nm and 532 nm and intensity distribution in dB of the x-z plane [52]. (g) Left panel: SEM image of the 10 × 10 metalens array. Diameter of each metalens is 30 μm. Right panel: SEM image of a single metalens [53]. Scale bar: 5 μm. (h) Measured focusing efficiency and intensity enhancement of the broadband metalens and chromatic metalens [53]

High numerical aperture metalenses

Numerical aperture (NA) is one of the main technical parameters of the lens and a key factor for judging the performance of the lens. NA is a parameter that measures the angular extent of the light that the optical system (lens) can collect. It represents the cone angle of the light collected by the lens, which determines the light-collecting ability and spatial resolution. According to the diffraction theory of physical optics, the larger the NA, the stronger the resolution of the lens. High-NA lenses are required in variety of applications, such as large data recording, focused imaging, microscopy and coupling of free-space beams to photonic devices. Traditional large-scale NA lenses based on light refraction require sophisticated and expensive optics. To achieve high NA, several new methods based on the planer metalenses are proposed in recent years [54]. High-contrast dielectric structures can be utilized to design the high NA metalens according to ref. 51. Note that high refractive index materials such as silicon offer more degree of freedom in design and manufacture and are the basis for high performance metalenses. Kuznetsov et al. proposed a new method to design the silicon-based metalens of near-unity NA (NA > 0.99) with asymmetric scattering building blocks on the basis of diffracted energy redistribution, which can be seen in Fig. 2(a) and (b) [55]. However, in the optical application, especially the microscopy, a strategy that using liquid immersion to increase the NA and thus increase the resolution is first demonstrated by Capasso’ s group in Fig. 2(c) [56]. Figure 2(d) shows that oil immersion planar metalenses with NA up to 1.1 is designed at the wavelength of 532 nm in the back-immersion and thus high spatial resolution diffraction-limited imaging is achieved [56]. Additionally, another material crystalline silicon (c-Si) as an alternative is utilized by Liang et al. to increase NA of metalens combined with oil immersion, owing to c-Si high refractive index and relatively low absorption rate in Fig. 2(e) and (f) [57]. In their work, an ultra-high NA metalens is demonstrated experimentally and theoretically utilizing the Pancharatnam-Berry (P-B) phase and hybrid optimization algorithm. They first designed a metalens with NA of 0.98 and focusing efficiency of 67% in air at 532 nm wavelength. And then the metalens was immersed into oil in a front-immersion way and achieved an NA of 1.48 experimentally and 1.73 theoretically, respectively [57].

Fig. 2
figure 2

High numerical aperture of metalenses. (a) Schematic description of the metalens with nanoantenna arrays [55]. (b) Left panel: normalized measured intensity map in the focal plane (z = 45 μm). Right panel: intensity profile through the focus and the fitting to the Airy profile [55]. (c) schematic measurement of the liquid immersion metalens. Inset: an SEM image of meta-atoms [56]. (d) Left panel: normalized intensity profile of the focal spot from the metalens with NA = 0.9. Scale bar: 400 nm. Middle panel: The horizontal cut of left panel with its intensity normalized to its corresponding diffraction-limited Airy disk (black curve) for a same given area. Right panel: measured intensity variation at the center of the focal spot (green dots) along propagation direction [56]. (e) SEM image of the high-NA metalens and a building block of c-Si nano-bricks on a sapphire substrate [57]. (f) Focusing performance of the metalens in air (upper line) and immersion oil with n = 1.512 (lower line). Normalized intensity profile of the focal spot at the focal plane (middle panel) and intensity distribution in the focal plane along the dotted red line (right panel) [57]

Achromatic metalenses

Optical aberrations are generally defined as the fact that in an optical system, light emitted from a point object does not meet all at the same pixel. When incident wave with multiple wavelengths is illuminated onto metalenses, chromatic aberration effect occurs due to the material dispersion, enabling different wavelengths focused on different spatial points and performance of imaging, displaying and detection decreased accordingly. In order to eliminate the influence of chromatic aberration, the conventional lens utilizes a complicated and costly method such as multi-lens cascading. Thus, similarly to this, several metalenses of diverse configurations are cascaded or combined in space for different working wavelengths. A high contrast dielectric transmission platform with amorphous silicon nano-posts is designed to demonstrate polarization insensitive metasurface lenses that focus light at 915 nm and 1550 nm to the same focal distance by two different methods, i.e. large-scale segmentation and meta-atom interleaving in Fig. 3(a) and (b) [58]. The idea can be readily generalized to devices with more operation wavelengths. It has also been demonstrated that cascading several metalenses to form a combined configuration, such as the vertical stacking of independent disc metasurfaces made of different materials proposed by Avayu et al. Figure 3(c) shows a triply red, green and blue achromatic metalens in the visible range obtained by anomalous dispersive focusing [59]. Although these methods and technologies mentioned above are successfully realized the purpose of achromatic aberration shown in Fig. 3(d), the relative sophisticated metalenses are cost, weight to some extent and even need precise optical alignment process. However, Faraon’ s group designed a reflective achromatic metalens composed of dielectric nano-posts by simultaneously controlling the phase imparted by the meta-atoms composing the metasurface and its derivative with respect to frequency, as is depicted in Fig. 3(e). It is demonstrated that a focusing mirror exhibits a five-fold reduction in chromatic dispersion over the wavelength range of 1450 nm–1590 nm and an almost three-times increase of intensity in operation bandwidth compared with a regular diffractive element shown in Fig. 3(f) [60].

Fig. 3
figure 3

Achromatic metalenses for multiple discrete wavelengths. (a) Optical and SEM images of the multisector lens (upper line) and the interleaved lens (lower line) [58]. (b) Intensity measured in the axial plane and in the focal plane at 915 and 1550 nm for the multisector lens (upper line) and the interleaved lens (lower line) [58]. (c) Artist’s view of the three-layer lens. When illuminated with white light, each layer focuses its designated part of the spectrum to a distance of 1 mm along the optical axis [59]. (d) Left panel: Aberration correction of 450 nm, 550 nm and 650 nm. Right upper panel: theoretical calculation of the focal distance for a conventional Fresnel zone plate (FZP) (red line) and the measured focal points at the RGB wavelengths of the conventional FZP (crosses) and metasurface FZP (circles). Right lower panel: demonstration of color imaging using the fabricated metasurface FZP element [59]. (e) A meta-atom composed of a square cross-section amorphous silicon nano-post on a silicon dioxide layer on a metallic reflector. SEM of the fabricated nano-posts and devices [60]. (f) Measured intensity in the axial plane of the metasurface at five wavelengths [60]

Distinguish from any of the above methods, Wang et al. proposed a new method of splitting the phase into two parts of wavelength-dependent and wavelength-independent phase and obtained a continuous broadband achromatic metelens in the near-infrared wavelength region from 1200 nm to 1680 nm in a reflection scheme in Fig. 4(a) and (b) [61] and in the visible wavelength range of 400 nm to 667 nm in Fig. 4(c) and (d) [62] with different materials of meta-atoms, respectively. Besides, Meem et al. recently proposed broadband flat lenses made of polymer ring using the multi-level diffractive optics and gradient-descent-assisted direct binary search optimism method, working in the long wave infrared region shown in Fig. 4(e) and (f). The designed rotationally symmetric multilevel diffractive lenses with two orders of magnitude lighter than traditional lens can be utilized to image without achromatic aberration [63].

Fig. 4
figure 4

Achromatic metalenses for relatively broadband wavelengths. (a) Optical image of fabricated achromatic converging metalens. Inset: the SEM image of meta-elements [61]. (b) Left panel: measured and simulated focal length of metalens with various NA values. Right panel: experimental (upper row) and numerical (lower row) intensity profiles of achromatic metalens along axial planes at various incident wavelengths [61]. (c) Optical image of fabricated achromatic metalens. Inset: SEM image of meta-elements [62]. (d) Left upper panel: simulated numerical results. Left lower panel: calculated results treating each nanorod as a dipolar source radiating from the surface. Right panel: Numerical (upper row) and experimental (lower row) intensity in the axial plane at six wavelengths [62]. (e) Optical micrographs of the fabricated lens in the infrared wavelength regime [63]. (f) Top panel: height profile of metalens with focal length of 19 mm and the simulated point-spread functions at the design wavelengths. Lower panel: height profile of metalens with focal length of 8 mm and the simulated point-spread functions at the design wavelengths [63]

Metalens without monochromatic aberration

Having discussed the achromatic aberration of multi-wavelength focusing, now let us consider the monochromatic aberration (such as the coma and astigmatism) of metalens for a single incident wavelength under the situation of oblique illumination as the aberration-free focusing is possible under axial illumination, which hinders their adoption in imaging applications that require a large field of view and normal visual experience. The focal spot of the hyperbolic metalens is strongly distorted due to off-axis aberrations caused by the discrete phase discontinuities. In order to focus the ray of light at the desired focal length, a hyperbolic distribution of phases at the interface produces a spherical wavefront [64]. If the metasurface lens is patterned on a curved substrate for the purpose of focusing light, the coma and spherical aberrations can be corrected in Fig. 5(a), which has been reported by Aieta et al [65]. In their design, the aplanatic lens free of spherical aberrations and coma is engineered by patterning the metasurface into a spherical shape.

Fig. 5
figure 5

Elimination of monochromatic aberrations within metalenses. (a) Principles and schemes of aberration elimination. Ray tracing plot for a plano-convex refractive lens, flat lens, and an aplanatic metasurface. The yellow-shaded areas represent the dielectric substrate (n = 3.5) and the green lines represent the metasurfaces. The parallel illumination forms an angle α = 10° with respect to the optical axis, NA = 0.5 [65]. (b) Upper panel: schematic of the dielectric metasurface used to implement the metasurface doublet lens. Lower panel: schematic of on-axis and off-axis focusing by the doublet lens corrected for monochromatic aberrations. Right panel: Simulated and measured focal plane intensity profiles for different incident angles [66]. (c) Image taken from the metasurface doublet lens (upper panel) and spherical-aberration-free metasurface singlet lens (lower panel). Scale bar, 100 mm [66]. Insets: zoomed-in details of the images at the locations corresponding to viewing angles of 0 °, 15° and 30°. (d) Schematic of the meta-lens doublet and focusing metalens with different rotation angles [67]. (e) Upper row: focal spot intensity profiles at 0°, 6°, 12°, 18° and 25° incidence angle. Scale bar: 1.1 μm. Lower row: images corresponding to the angle mentioned above [67]. (f) Schematic of the design of flat lenses and axicons [46]. (g) Left panel: phase shifts and scattering amplitude sin cross-polarization for the eight elements used in our metasurfaces. Right panel: theoretical calculations and experimental results of the intensity distribution in the focal region [46]

Although such a conformal metasurface may be a solution [65, 68], it is a large challenge to pattern the nano-structure on the curved surface. In order to replace this scheme, Faraon’ s group proposed a cascaded doublet metalens comprising two amorphous silicon metalenses (aperture metalens and focusing metalens) patterned on both sides of fused silica substrate which is depicted in Fig. 5(b). The doublet lens can correct the monochromatic aberrations and achieve high focusing efficiency of 70% in the near-infrared over a wide range of angles (30°) for both normal incidence and oblique incidence, compared to that of singlet metalens with identical geometries [66]. The doublet lens is integrated into camera with monochromatic image sensor for sophisticated image corrections. an image with high brightness is formed just by a small optical intensity from the metasurface miniature camera shown in Fig. 5(c). After that, according to the principle of the Chevalier Landscape lens [69] that normal and oblique incident light is split by a small aperture and then focused by different parts of the lens, another metalens doublet working in the visible engineered by Capasso’ s group comprises two metalenses patterned on both sides of a glass substrate with different rotation angles of each array of nanofins in Fig. 5(d). The field of view for the metalens doublet can reach 50° and the maximum diffraction-limited focusing efficiency is 50%, which enables the diffraction-limited imaging with better spatial resolution and free of monochromatic aberrations, coma aberration and even Petzval field curvature along the focal plane for the first time shown in Fig. 5(e) [67]. Furthermore, flat lenses and axicon designs without monochromatic aberration are presented in the communication wavelength region by Capasso and his co-workers, according to the optimization method that the fabricated hyperbolic phase distribution at the interface produces a spherical wavefront under non-paraxial conditions shown in Fig. 5(f). In their design, axicons are utilized for a conical phase distribution to achieve a wide range of focal distance, which can convert the incident Gaussian beams into non-diffracting Bessel beams [70, 71]. The ultrathin lens with high NA focusing based on the metasurfaces which consists of eight building blocks in a unit cell can even provide subwavelength resolution as is seen in Fig. 5(g) [46].

Large area fabrications of metalens

Due to the requirement of large-scale and low-cost mass production of imaging devices in practical applications, it is very important that the designed metalens has the possibility of large area fabrication. In recent years, the fabrications of metalenses are generally limited to the size of no more than a few millimeters, which is attributed to the extremely high data density on a metalens with large area, resulting in the unmanageably large total file sizes. Capasso’ s team designed and fabricated a transmissive metalens with extremely large areas, up to centimeters in diameter and beyond, and with efficiency of above 91% [72]. They utilized a scalable metasurface layout compression algorithm that can exponentially reduce design file sizes (approximately by 3 orders of magnitude for a centimeter diameter lens) and stepper photolithography that are standard in mature semiconductor manufacturing technology to experimentally fabricate the ideal thin lens as in shown in Fig. 6(a). The advantage of photolithography is that it exposes the characteristics of printed optical components by flash exposure in a duration of only a few milliseconds. Besides, the focusing and imaging performance is characterized and optical magnification was measured to be − 0.42.

Fig. 6
figure 6

Large area fabrications of metalens. (a) A schematic diagram showing the production of the proposed metalenses at low cost and with high yield using existing photolithographic stepper technology. Here a wafer substrate is first deposited with the appropriate film stack, comprised of the metalens material (amorphous a-Si), photoresist (SPR700–1.0), and contrast enhancement material (CEM). Right panel: a photo of fabricated metalens, 2-cm in diameter, using this methodology [72]. (b) Schematic representation of the designed tunable metalens system comprising two cubic metasurface phase plates actuated laterally [73]. (c) Schematic of the process flow for fabricating multiple large area metalenses in parallel using high-throughput stepper lithography-based processing [73]

Colburn et al. designed a large area tunable focal length metalens system inspired by an Alvarez lens design in Fig. 6(b) [73]. Like the large area metalens mentioned above, researchers also use high-throughput stepper photolithography to fabricate the metalens with a large area of 1 cm [2] in Fig. 6(c). The large area metalens they designed is composed of nearly 120 million scatterers. Furthermore, the large area metalens with the largest focal length range demonstrated to date is experimentally achieved by combining two separated cubic metasurfaces that under lateral actuation. A rapid and nonlinear change in focal length is verified when laterally displacing the regular and inverse cubic metasurfaces with respect to one another. Similar to this work, they also designed a continuously tunable extended depth of focus metalens using two quartic metasurfaces [74]. The two quartic metasurfaces are laterally shifted in opposite directions, the superposition of their phase profiles yields a quadratic function, yielding a varifocal lens. Thus, using the mass manufacturing processes of stepper lithography platform, the proposed metalens made metasurface become a viable commercial technology and simultaneously possessed enough imaging quality.

Optical imaging applications of multifunctional metalenses

Recently, the metalenses with the advantages of ultraweight and ultracompact, particularly used as alternative to conventional lenses in various imaging systems, have become a promising technology for implementing planar optical components with customized functions.

Broadband focusing and imaging

White-light achromatic imaging is the ultimate goal of metalens applied in imaging systems. Although several works previous reported achieved the achromatic aberration only in some discrete wavelengths or narrow wavelength band [75,76,77], it is still important to seek the wide-band focusing imaging without chromatic aberrations or even a full-color imaging of an object or scene. Capasso and his colleagues proposed a mechanism of designing the group delay and group delay dispersion of every meta-element to achieve fully control of metalens dispersion over the visible wavelength region at will in Fig. 7(a). Clear images of a standard United States Air Force resolution chart (SUSAFRC) captured by the imaging system integrated with the designed achromatic metalens are achieved under various laser illumination wavelengths with bandwidth of 40 nm and bandwidth of 200 nm shown in Fig. 7(b) [78]. Furthermore, Wang et al. also presented full color imaging at almost the same time, utilizing the mechanism of incorporating every meta-element with Pancharatnam-Berry phase [79]. They use the nano-pillars and nano-holes as meta-elements [80] to realize the needed phase compensation of achromatic metalenses in Fig. 7(c). In their work, images of SUSAFRC taken form the achromatic metalens and chromatic metalens under the illumination of a halogen light are compared with each other and the achromatic metalens have presented a higher resolution than that of the Ref. 78. In addition, colorful pictures are imaged utilizing the achromatic metalens with NA = 0.106 over the whole visible regime. From the imaging results, every has clear details and edge information, but the color is slightly distorted which can be corrected by color balance later (see details in Fig. 7(d)) [79].

Fig. 7
figure 7

Color focusing imaging applications of metalenses. (a) Left panel: SEM of a region of the fabricated metalens [78]. Scale bar: 500 nm. Middle panel: Image of SUSAFRC using the achromatic metalens under an illumination bandwidth of 200 nm centered at 570 nm. Right panel: Image of the Siemens star pattern under the same illumination condition in (b). Scale bars: 200 μm. (b) Images of SUSAFRC taken by the achromatic metalens [78]. Scale bar: 100 μm. (c) Optical image of the fabricated achromatic metalens. The dashed squares indicate the position of the SEM images shown below [79]. Scale bar: 10 μm. (d) Upper panel: image of SUSAFRC taken from achromatic and chromatic metalenses. Scale bars: 4 μm. Lower panel: captured images of full-colour Alcedinidae, Erithacus rubecula and Eurasian eagle owl images by the achromatic metalens before and after color correction [79]

The residual chromatic aberrations resulted from the grating dispersion of the Fresnel lens in the imaging system is quiet difficult to be corrected. Chen et al. proposed to combine the metalens with refractive optics to correct the spherical and chromatic aberrations and then designed a metacorrector consisting of 14 lenses and 7 distinct glass materials to realize the surperachromatic lens in the broad wavelength band from the violet to the near-infrared. They use the metacorrector to provide group delay and group delay dispersion caused by the material of refractive lens to compensate the focus distance shift. Image of SUSAFRC taken by a color camera with the metacorrector present clear edges almost without chromatic aberration under white light illumination shown in Fig. 8(a) [81]. Afterwards, they investigated a polarization-insensitive metalens composed of anisotropic nano-fins, which is a technical improvement compared with the previous metalenses with symmetric nanostructures or even spatial multiplexing [84,85,86,87,88]. By utilizing the anisotropic elements of the metasurfaces, better dispersion control of the metalens is realized. They used the metalens for achromatic imaging of color objects in the whole visible region under arbitrary incident polarization in Fig. 8(b) and provided the general law of the design method using the phase, and group delay and group delay dispersion in their work [82].

Fig. 8
figure 8

Color focusing imaging applications of metalenses. (a) Left panel: SEM image from a region of the metacorrector, made of TiO2 nanofins on a glass substrate. Scale bar: 500 nm. The inset shows an oblique view (scale bar: 200 nm). Middle panel: ray diagram at λ = 560 nm. The metacorrector with the diameter of 4 mm is placed at the entrance aperture of the objective. The objective consists of 14 lenses made of 7 different glasses. Right panel: a comparison of imaging under white light illumination with and without the metacorrector [81]. (b) Left panel: SEM image of a part of the fabricated metalens. Scale bar: 1 μm. Inset: a magnified view of the nanofins. Scale bar: 500 nm. Middle panel: the focal spot profile, with the top and bottom rows corresponding to the diode (monochromatic) and tunable broadband laser illumination, respectively. Scale bars: 2 μm. Right panel: imaging with the achromatic metalens. The pattern corresponding to number 6 has a linewidth of 8.77 μm [82]. Scale bars: 40 μm. (c) Left panel: schematic of a metasurface comprising an array of nanoposts. Middle panel: optical images of the singlet metasurface lens (upper raw) and the extended depth of focus device (lower raw). Right panel: imaging under white light [83]

In addition to exclusively using imaging systems with achromatic metalens, combining metalenses or significantly simpler optics with computational imaging [89, 90] can further enhance the performance of optical systems, such as full-color imaging. Colburn et al. fabricated a singlet metalens and an extended depth of focus (EDOF) metalens with the wavefront coding functionalities to realize the imaging results in Fig. 8(c) [83]. EDOF means that spatial frequency information can be preserved across the depth of the smeared-out focal spot. With the depth of the focal spot increasing, the bandwidth of such an EDOF system increase but EDOF remains the system tolerant to focal shifts. They first image a SUSAFRC and a binary image under three discrete wavelengths and utilize a post processing algorithm of deconvolution under EDOF device with spectrally invariant blur to improve image clarity. Furthermore, four different RGB pictures with colorful information are imaged by the two metalens and then deconvolution processing by the computational software realm under white light illumination shown in the right panel of Fig. 8(c) [83]. A significantly improved imaging results that includes multiple colors can be clearly observed by computational algorithm post-processing, which enables full-color imaging with high resolution possible in the future.

Polarization dependent imaging

Different from the polarization diffraction devices previously studied, such as gratings, using spatially rotating polarizers or waveplates to achieve polarization dependence, Hasman et al. proposes a polarization dependent focusing lens based on quantized Pancharatnam-Berry phase diffractive optics at the wavelength of 10.6 μm. The polarization dependent lens can be realized with a discrete geometrical phase, using a computer-generated space-variant subwavelength dielectric grating. The metalens is experimentally confirmed to be a converging lens for incident right-hand circularly polarized beam and a diverging lens for incident left-hand state [91]. Similar to this function but with a significantly different mechanism and technology, Chen et al. designed a plasmonic metalens exhibiting dual polarities based on interfacial phase discontinuities [92] for circularly polarized light [93]. In their design, the metalens can be conducted as a convex lens or a concave lens when the polarization of incident light is converted into the opposite in Fig. 9(a). Besides, the plasmonic lenses with a negative polarity and positive polarity for the right circular polarization are demonstrated experimentally by engineering the opposite rotation directions of the dipole antennas. Using the plasmonic lenses in the imaging system, magnified and shrink images are captured with the magnifications of object agreeable well with theoretical value shown in Fig. 9(b) [93].

Fig. 9
figure 9

Polarization-dependent imaging applications of metalenses. (a) SEM images of a plasmonic lens on an ITO-coated glass substrate with negative polarity (upper panel) and positive polarity (lower panel) for an incident right circularly polarization (RCP) light [93]. Scale bar: 1 mm. (b) Schematic illustration of imaging for metalens used as a convex lens and a concave lens. SEMs of the grating (object) at 810 nm without the metalens (top), a magnified image for RCP incident beam with a magnification of 1.45 (middle) and a reduced image for LCP incident beam with a magnification of 0.87 (bottom) [93]. The scale bar is 50 mm. (c) Upper panel: the focusing properties of the same metalens can be switched between a convex lens and a concave lens by controlling the helicity of the incident light. Lower panel: SEM image and phase distribution of the fabricated dual-polarity plasmonic lens with a focal length of 80 μm [94]. (d) Experimental demonstration of imaging [94]. (e) The building block of the chiral lens consists of two nanofins on a glass substrate. Upper right panel: SEM image of chiral lens. Scale bar: 600 nm. Lower panel: Imaging principle of the chiral lens where LCP and RCP light from the same object [95]. (f) Images of the beetle obtained by the chiral lens on the color camera and images of a one-dollar coin under green LED illumination [95]. (g) Optical microscope image of the grating sample shows Fresnel zones owing to the weak, polarization independent lensing effect imposed on top of the metagrating. Inset: metasurface consists of subwavelength, form-birefringent TiO2 pillars array. Right panel: Imaging system packaged into a portable prototype and the essential part of the camera [96]. (h) A plastic ruler and spoon with the information of Stokes vectors are photographed with the camera [96]

Although the imaging of grating is obtained in the work mentioned above, the metalens consisting of the dipole antennas manipulated the light only along one direction, which caused the image of some object distorted originated from the different magnifications along two directions. Hereafter, Chen et al. use the dual-polarity plasmonic circular flat metalens to experimentally demonstrate its reversible polarity and to realize the inverted real images or the upright virtual images of a two-dimensional object without distortion in the visible range for the first time, which is illustrated in Fig. 9(c) and (d) [94].

Distinguished from the mechanism of polarization imaging mentioned above, in order to perceive the polarization states of objects in some applications including environmental sensing [97], biological studies [98] and so on, Capasso and his co-workers designed a multispectral chiral metalens with each meta-element consisting of two nano-fins on a glass to perform multispectral imaging that focusing different wavelengths into spatially separated spots, which is depicted in Fig. 9(e). The designed metalens can not only achieve multispectral information of the object over the entire visible regime but also identify the object’s chirality without additional optics. Images of a chiral object that presenting strong circular dichroism around green wavelengths is captured on the camera chip with opposite helicity, while other objects without chirality can also be clearly imaged in Fig. 9(f) [95].

As more and more eager to distinguish the polarization state of an object, matrix Fourier optics comes into being for realize a single polarization optical element. Rubin et al. recently integrate a metagrating [99,100,101] into a photographic imaging system and realize a compact full-Stokes polarization camera in Fig. 9(g). The metagrating is composed of TiO2 nano-fins array and the diffraction efficiency in terms of power diffracted into the four orders over incident power is above 50%, which is high enough to enable imaging applications. Four images with rich polarization information owing to stress birefringence can be obtained simultaneously by four detectors and then analyzed pixel-wise to reconstruct the Stokes vector across the scene, which is clearly shown in Fig. 9(h) [96].

Light field imaging

In the process of optical imaging, in addition to clear two-dimensional color images, sometimes we need to know the depth information of the image. Thus, the theory of light field imaging is formed to achieve refocused image of the scene with different depths. Tsai and co-workers proposed an imaging method of combing the light field imaging with metlenses to achieve multi-dimensional light field information. They fabricated a large achromatic metalens array and integrated a light field imaging system. An intermediate image on the sensing plane needs to render with different focusing depths and then the scene can be reconstructed slice by slice from the rendered images with different depths of focus shown in Fig. 10(a). Besides, the ability to evaluate the depths of objects and to measure the relative speed can be achieved owing to the light field imaging. Under the optical imaging system, resolution of 1.95 μm in linewidth is evaluated by utilizing the SUSAFRC in Fig. 10(b) [102].

Fig. 10
figure 10

Light-field imaging applications of metalenses. (a) Upper panel: schematic diagram of light-field imaging with metalens array and rendered images. An objective is used to collect the circularly polarized light on the achromatic metalens array and form an intermediate image in front of the achromatic metalens array. Lower panel: rendered images focusing on the rocket with depths of 50 cm, 54 cm and 66.5cm [102]. (b) Quantification of the imaging resolution of the light-field system with an achromatic metalens array [102]. (c) Schematic of the broadband achromatic metalens array showing the principle of white-light achromatic integral imaging [103]. (d) Upper panel: reconstructed images in the case that the number “3” is closer to the central depth plane than the letter “D”, and they are focused on “3” plane. Lower panel: reconstructed images in the case that the number “3” is closer to the central depth plane than the letter “D”, and they are focused on “D” plane. Scale bar: 100 μm [103]. (e) Schematic of the metalens depth sensor estimating depth by mimicking the jumping spider. The work principle is that a metalens is designed to simultaneously capture 2 images with different defocus and then efficient calculations is performed to produce depth from these images [104]. (f) Geometric light path diagram of light passing through the designed metalens [104]

Later, Fan et al. proposed a silicon nitride metalens array without chromatic aberrations in the visible and use it for integral imaging display [105] that capturing light field information in Fig. 10(c). Distinguish slightly from the light field camera mentioned above, three-dimensional scene is encoded with algorithms and then image with depth information is reconstructed in the progress of integral imaging displays with the designed silicon nitride metalens array for white light in Fig. 10(d) [103]. The metalens array can realize the diffraction-limited focusing for different polarizations and be integrated on the chip to achieve the optoelectronic information.

Recently, there is another method reported to measure the depth information of an image. Inspired by the optical principle of eyes of jumping spider, Guo et al. proposed a metalens-based depth sensor to perceive depth information in Fig. 10(e) [104]. The working principle of the depth sensor is to use metasurface technology to design a metalens that split light into two beams and then simultaneously form a pair of differently defocused images on the two halves of a single planar photosensor in Fig. 10(f). According to the proposed computational algorithm, a dense field of depth estimates is acquired and each is associated with a confidence value. The compact, single-shot metalens-based depth sensor requires a small amount of computation. The work brings artificial depth sensing closer to being feasible on millimeter-scale, microwatts platform.

Other imaging with metalens

In addition to these optical imaging applications mentioned above, there are many other functionalities in imaging field combined with the planer metalenses [73, 106,107,108,109,110,111,112,113,114,115,116]. Pahlevaninezhad et al. realized the integration of achromatic metalens with endoscopic optical coherence tomography and achieved the endoscopic imaging with great resolution and high depth of focus. They investigated the imaging results of resected human lung specimens and sheep airways in vivo, respectively (see details in Fig. 11(a) [117]. Cheng et al. present a type of acoustic imaging by utilizing the acoustic resonant metalens with strong acoustic anisotropy, solving the problem of requiring to bring objects quite close to the device when imaging with acoustic materials. Subwavelength resolution acoustic imaging of two-dimensional object can be realized by the mechanism of magnifying an acoustic evanescent wave inside a metalens in Fig. 11(b) [111]. Schlickriede et al. proposed a nonlinear imaging using nonlinear metalens with the response of second harmonic generation in Fig. 11(c). Extremely different from the metalenses used in linear regime, nonlinear metalens with nonlinear Pancharatnam-Berry phase and three-fold rotational symmetry in the work presents helicity dependence and the possibility of frequency conversion. When changing the spin state of circularly polarized light, the real image or virtual image with nonlinear information can be achieved in Fig. 11(d) [113]. There are several pioneering applications of metalenses for microscopy reported recently, like two-photon microscopy and quantitative phase gradient microscopy. Ehsan et al. proposed and demonstrated two-photon fluorescence microscopy with image qualities comparable to a conventional microscope objective using a double-wavelength meta-lens (DW-ML) as the objective lens experimentally in Fig. 12(a) and (b) [118]. Compared to current systems based on graded-index-lenses, the metasurface lens can enable ultracompact two-photon microscopes with similar performance. Besides, Hyounghan et al. demonstrated a compact quantitative phase gradient microscope (QGPM) based on two dielectric metasurface layers as in shown in Fig. 12(c) [119]. QGPM can capture three differential interference contrast images to generate a quantitative phase gradient image in a single shot as is depicted in Fig. 12(d) and (e). The capability to capture quantitative phase gradient data with better phase gradient sensitivity and single-cell resolution were verified, in favor of developing miniaturized quantitative phase imaging systems.

Fig. 11
figure 11

Other imaging applications of metalenses. (a) Left panel: SEM image of a portion of a fabricated metalens. Right upper panel: OCT images of fruit flesh (grape) obtained using a ball lens catheter and the nano-optic endoscope. Right lower panel: ex vivo images of swine airway using a ball lens catheter and the nano-optic endoscope [117]. Scale bars: 500 μm. (b) Left panel: geometry of the acoustic metalens formed by holey-structured metamaterials. The periodic holes are filled with water and organized on a square lattice in a brass matrix. Right panel: The imaging object. The calculated pressure field distributions at the output surface (middle) and at the internal image plane (right) [ 111]. (c) Left panel: illustration of the imaging concept: The L-shaped aperture is imaged on a screen with the help of the nonlinear metalens consisting of C3 nanoantennas. Right panel: nonlinear imaging of double slit apertures [113]. (d) Left panel: SEM image of the plasmonic metalens designed for a focal length of 500 μm. Right upper panel: measured and Simulated SHG distribution for planes along the z-propagation direction behind the metalens showing the evolution of the image formation. A clear upright real image of the letter L is formed at z ≈ 1000 μm. The metalens works as a convex lens for a spin conversion from RCP to LCP so that the real image is formed behind the metalens with the magnification of − 1. Right lower panel: the nonlinear metalens acts as a concave lens which images an upside down virtual image of the letter L for LCP. The measured image is obtained with a magnification of 0.35 [113]. White scale bars: 20 μm

Fig. 12
figure 12

Microscopy applications of metalenses. (a) Left panel: regular microscope image of a fluorescent polyethylene microsphere. Middle panel: two-photon fluorescent microscope image of the microsphere captured using the double-wavelength metalens (DW-ML). Right panel: the microscope image captured using a conventional refractive objective [118]. Scale bars: 10 μm. (b) Schematic illustration of the proposed two-photon microscope. A pulsed laser source is focused inside a sample using the excitation optics and a metasurface objective. The same metasurface lens along with the collection optics collects the light that is emitted by the sample through a two-photon fluorescence process [118]. (c) Schematic of a metasurface-based quantitative phase gradient microscope (QPGM) and its operation principle. The second metasurface layer is composed of three separate metasurface lenses. The first metasurface, together with each of the lenses in the second metasurface layer, forms a different image of the object. A polarizer and the polarization-sensitive metasurfaces then result in three interference patterns [119]. (d) Illustration of the roles of the two metasurface layers [119]. (e) Left panel: a binary phase sample with unity amplitude used as an example target. Middle panel: three DIC images of the phase sample. Right panel: phase gradient image showing the phase gradient along the y axis [119]

Conclusions and outlook

In the article, we have reviewed the development of metalenses and relative imaging technologies based on them. Metalenses are increasingly applied in the optical research field and have more excellent functions and more unique features. Attributed to their ultrathin, ultraweight and ultracompact nature, metalenses have been integrated into chips and systems. Particularly, it is a major breakthrough in the field of integrated imaging with flexible modulation of metalenses, replacing cascading, bulky, expensive traditional counterparts. Although the great progress of metalenses has been obtained, especially in fabrication and design, there are still a variety of challenges of metalenses to be improved and explored in the future.

As mentioned above, the key factors consisting of the higher efficiency, larger NA, more robust aberration elimination including chromaticity and coma require to be pursued and then the multi-functional metalenses can satisfy the requirement of practical imaging applications under different situations, such as machine vision, remote sensing, medical diagnosis, biological imaging and material science. In the work, we only focus on the limited examples of imaging applications based on the metalenses. There remaining numerous novel applications for combining metasurface are being realized, which needs for the exploration of innovative working principles and more complex design schemes. In light of the excellent characteristics enabled by the exceptional wavefront modulation ability, metalenses can be an important link between the renovation of photonics and optoelectronics.