Skip to main content
Log in

Specific Features of the Kinetics of the Reactive-Ion Etching of Si and SiO2 in a CF4 + O2 Mixture in a Low Power Supply Mode

  • Published:
Russian Microelectronics Aims and scope Submit manuscript

Abstract

The kinetics of reactive ion etching of Si and SiO2 in the plasma of a high-frequency (13.56 MHz) inductive discharge in a CF4 + O2 mixture in the range of input power of 200–600 W (0.02–0.06 W/cm3) is studied. The key plasma-chemical processes that form stationary electrophysical parameters and composition of the gas phase are identified (a), and the flux densities of neutral and charged particles on the treated surface are determined (b) with the combined use of plasma diagnostics by Langmuir probes and 0-dimensional (global) plasma modeling. It is found that the dominant etching mechanism for both materials is an ion-stimulated chemical reaction proceeding in the kinetic mode and limited by the fluorine flux. It is shown that the decrease in the effective probability of interaction in Si/SiO2 + F systems with an increase in the input power and gas pressure may be due to heterogeneous processes with the participation of oxygen atoms.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1.
Fig. 2.
Fig. 3.

Similar content being viewed by others

REFERENCES

  1. Wolf, S. and Tauber, R.N., Silicon Processing for the VLSI Era, Vol. 1: Process Technology, New York: Lattice Press, 2000.

    Google Scholar 

  2. Advanced Plasma Processing Technology, New York: Wiley, 2008.

  3. Nojiri, K., Dry Etching Technology for Semiconductors, Tokyo: Springer Int., 2015.

    Book  Google Scholar 

  4. Lieberman, M.A. and Lichtenberg, A.J., Principles of Plasma Discharges and Materials Processing, New York: Wiley, 2005.

    Book  Google Scholar 

  5. Jansen, H., Gardeniers, H., de Boer, M., Elwenspoek, M., and Fluitman, J., A survey on the reactive ion etching of silicon in microtechnology, J. Micromech. Microeng., 1995, vol. 6, pp. 14–28.

    Article  Google Scholar 

  6. Mogab, C.J., Adams, A.C., and Flamm, D.L., Plasma etching of Si and SiO2—the effect of oxygen additions to CF4 plasmas, J. Appl. Phys., 1978, vol. 49, pp. 3796–3803.

    Article  Google Scholar 

  7. Standaert, T.E.F.M., Hedlund, C., Joseph, E.A., and Oehrlein, G.S., Role of fluorocarbon film formation in the etching of silicon, silicon dioxide, silicon nitride, and amorphous hydrogenated silicon carbide, J. Vac. Sci. Technol., A, 2004, vol. 22, pp. 53–60.

    Article  Google Scholar 

  8. Matsui, M., Tatsumi, T., and Sekine, M., Relationship of etch reaction and reactive species flux in C4F8–Ar–O2 plasma for SiO2 selective etching over Si and Si3N4, J. Vac. Sci. Technol., A, 2001, vol. 19, pp. 2089–2096.

    Article  Google Scholar 

  9. Schaepkens, M., Standaert, T.E.F.M., Rueger, N.R., Sebel, P.G.M., Oehrlein, G.S., and Cook, J.M., Study of the SiO2-to-Si3N4 etch selectivity mechanism in inductively coupled fluorocarbon plasmas and a comparison with the SiO2-to-Si mechanism, J. Vac. Sci. Technol., A, 1999, vol. 17, pp. 26–37.

    Article  Google Scholar 

  10. Kastenmeier, B.E.E., Matsuo, P.J., and Oehrlein, G.S., Highly selective etching of silicon nitride over silicon and silicon dioxide, J. Vac. Sci. Technol., A, 1999, vol. 17, pp. 3179–3184.

    Article  Google Scholar 

  11. Lele, C., Liang, Z., Linda, X., Dongxia, L., Hui, C., and Tod, P., Role of CF2 in the etching of SiO2, Si3N4 and Si in fluorocarbon plasma, J. Semicond., 2009, vol. 30, pp. 033005-1–10.

  12. Lee, J., Efremov, A., Yeom, G.Y., Lim, N., and Kwon, K.-H., Application of Si and SiO2 etching mechanisms in CF4/C4F8/Ar inductively coupled plasmas for nanoscale patterns, J. Nanosci. Nanotechnol., 2015, vol. 15, pp. 8340–8347.

    Article  Google Scholar 

  13. Enomoto, T., Denda, M., Yasuoka, A., and Nakata, H., Loading effect and temperature dependence of etch rate in CF4 plasma, Jpn. J. Appl. Phys., 1979, vol. 18, pp. 155–167.

    Article  Google Scholar 

  14. Handbook of Chemistry and Physics, New York: CRC, 1998.

  15. Li, X., Ling, L., Hua, X., Fukasawa, M., Oehrlein, G.S., Barela, M., and Anderson, H.M., Effects of Ar and O2 additives on SiO2 etching in C4F8-based plasmas, J. Vac. Sci. Technol., A, 2003, vol. 21, pp. 284–293.

    Article  Google Scholar 

  16. Lim, N., Efremov, A., and Kwon, K.-H., Gas-phase chemistry and etching mechanism of SiNx thin films in C4F8 + Ar inductively coupled plasma, Thin Solid Films, 2019, vol. 685, pp. 97–107.

    Article  Google Scholar 

  17. Veselov, D.S., Bakun, A.D., and Voronov, Yu.A., Reactive ion etching of silicon using low-power plasma etcher, J. Phys.: Conf. Ser., 2016, vol. 748, p. 012017-1–4.

    Google Scholar 

  18. Ashraf, M., Sundararajan, S.V., and Grenc, G., Low-power, low-pressure reactive-ion etching process for silicon etching with vertical and smooth walls for mechanobiology application, J. Micro/Nanolith. MEMS MOEMS, 2017, vol. 16, pp. 034501-1–8.

    Book  Google Scholar 

  19. Osipov, A.A., Aleksandrov, S.E., Solov’ev, Yu.V., Uvarov, A.A., and Osipov, A.A., Etching of SiC in low power inductively-coupled plasma, Russ. Microelectron., 2018, vol. 47, no. 6, pp. 427–433.

    Article  Google Scholar 

  20. Johnson, E.O. and Malter, L., A floating double probe method for measurements in gas discharges, Phys. Rev., 1950, vol. 80, pp. 58–70.

    Article  Google Scholar 

  21. Shun’ko, E.V., Langmuir Probe in Theory and Practice, Boca Raton, FL: Universal, 2008.

    Google Scholar 

  22. Efremov, A., Lee, J., and Kim, J., On the control of plasma parameters and active species kinetics in CF4 + O2 + Ar gas mixture by CF4/O2 and O2/Ar mixing ratios, Plasma Chem. Plasma Process., 2017, vol. 37, pp. 1445–1462.

    Article  Google Scholar 

  23. Kimura, T. and Noto, M., Experimental study and global model of inductively coupled CF4/O2 discharges, J. Appl. Phys., 2006, vol. 100, pp. 063303-1–9.

    Article  Google Scholar 

  24. Chun, I., Efremov, A., Yeom, G.Y., and Kwon, K.-H., A comparative study of CF4/O2/Ar and C4F8/O2/Ar plasmas for dry etching applications, Thin Solid Films, 2015, vol. 579, pp. 136–148.

    Article  Google Scholar 

  25. Stoffels, W.W., Stoffels, E., and Tachibana, K., Polymerization of fluorocarbons in reactive ion etching plasmas, J. Vac. Sci. Technol. A, 1998, vol. 16, pp. 87–95.

    Article  Google Scholar 

  26. Gray, D.C., Tepermeister, I., and Sawin, H.H., Phenomenological modeling of ion enhanced surface kinetics in fluorine-based plasma etching, J. Vac. Technol. B, 1993, vol. 11, pp. 1243–1257.

    Article  Google Scholar 

  27. Lee, J., Kwon, K.-H., and Efremov, A., On the relationships between plasma chemistry, etching kinetics and etching residues in CF4 + C4F8 + Ar and CF4 + CH2F2 + Ar plasmas with various CF4/C4F8 and CF4/CH2F2 mixing ratios, Vacuum, 2018, vol. 148, pp. 214–223.

    Article  Google Scholar 

  28. Son, J., Efremov, A., Chun, I., Yeom, G.Y., and Kwon, K.-H., On the LPCVD-formed SiO2 etching mechanism in CF4/Ar/O2 inductively coupled plasmas: Effects of gas mixing ratios and gas pressure, Plasma Chem. Plasma Process., 2014, vol. 34, pp. 239–257.

    Article  Google Scholar 

  29. Seah, M.P. and Nunney, T.S., Sputtering yields of compounds using argon ions, J. Phys. D: Appl. Phys., 2010, vol. 43, pp. 253001-1–24.

    Article  Google Scholar 

  30. Chapman, B., Glow Discharge Processes: Sputtering and Plasma Etching, New York: Wiley, 1980.

    Google Scholar 

  31. Zhang, Y.-R., Tinck, S., Schepper, P.D., Wang, Y.-N., and Bogaerts, A., Modeling and experimental investigation of the plasma uniformity in CF4/O2 capacitively coupled plasmas, operating in single frequency and dual frequency regime, J. Vac. Sci. Technol., A, 2015, vol. 33, pp. 021310-1–15.

    Google Scholar 

  32. Cunge, G., Kogelschatz, M., Joubert, O., and Sadeghi, N., Plasma-wall interactions during silicon etching processes in high-density HBr/Cl2/O2 plasmas, Plasma Sources Sci. Technol., 2005, vol. 14, no. 2, pp. S42–S51.

    Article  Google Scholar 

  33. Tinck, S., Boullart, W., and Bogaerts, A., Modeling Cl2/O2/Ar inductively coupled plasmas used for silicon etching: Effects of SiO2 chamber wall coating, Plasma Sources Sci. Technol., 2011, vol. 20, pp. 045012-1–10.

    Google Scholar 

  34. Krastev, V., Reid, I., Galassi, C., Hughes, G., and McGlynn, E., Influence of C4F8/Ar/O2 plasma etching on SiO2 surface chemistry, J. Mater. Sci. Mater. Electron., 2005, vol. 16, pp. 541–547.

    Article  Google Scholar 

Download references

Funding

This study was carried out as part of a state assignment of the Federal State Institution “Federal Scientific Center Research Institute for System Research,” Russian Academy of Sciences, on topic no. 0065-2019-0006 “Fundamental and applied research in the field of subwavelength holographic lithography and physicochemical processes of etching of 3D nanometer dielectric structures for the development of critical technologies for the production of electronic components.” The study and construction of models and designs of microelectronic elements was carried out in an extended temperature range (from –60 to +300°С).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to A. M. Efremov.

Ethics declarations

The authors declare that they have no conflict of interest.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Efremov, A.M., Betelin, V.B. & Kwon, KH. Specific Features of the Kinetics of the Reactive-Ion Etching of Si and SiO2 in a CF4 + O2 Mixture in a Low Power Supply Mode. Russ Microelectron 50, 303–310 (2021). https://doi.org/10.1134/S1063739721040053

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1134/S1063739721040053

Navigation