Skip to main content
Log in

Comprehensive Analysis on Hardware Trojans in 3D ICs: Characterization and Experimental Impact Assessment

  • Original Research
  • Published:
SN Computer Science Aims and scope Submit manuscript

Abstract

Three-dimensional (3D) integration facilitates to integrate an increasing number of transistors into a single package. Despite improved performance and power efficiency, the integration of multiple dies in the same package potentially leads to new security threats, such as 3D hardware Trojans. This work conducts a thorough survey on hardware Trojans reported in 3D integrated circuits (ICs) and systems, and proposes a comprehensive characterization of 3D hardware Trojans. Several case studies are performed to validate the feasibility of 3D hardware Trojan implementation. Our experimental results indicate that 3D ICs indeed provide a better environment for inserting stealthy thermal-based Trojans than 2D ICs. Multiple FPGA boards are utilized to conceptually emulate the stacked 3D ICs that experience multi-tier hardware Trojans. The stealthiness and effectiveness of the proposed multi-tier Trojans are validated in our case studies. The emulation results further show that the existing current-based self-referencing Trojan detection method designed for 2D Trojans will result in a lower detection rate in 3D scenarios.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15

Similar content being viewed by others

References

  1. Labrak L, O’Connor I. Heterogeneous system design platform and perspectives for 3D integration. In Proceeding of IEEE International Conference on Microelectronics, 2009; pp. 161–164.

  2. Xue L, Liu CC, Kim H-S, Kim SK, Tiwari S. Three-dimensional integration: technology, use, and issues for mixed-signal applications. IEEE Trans Electron Devices. 2003;50(3):601–9.

    Article  Google Scholar 

  3. Tummala RR. 3d system package architecture as alternative to 3d stacking of ics with tsv at system level. In: 2017 IEEE International Electron Devices Meeting (IEDM), 2017; pp. 3.4.1–3.4.3.

  4. Tanaka T, 3d-ic technology and reliability challenges. In: 2017 17th International Workshop on Junction Technology (IWJT), 2017; pp. 51–53.

  5. Li L, Su P, Xue J, Brillhart M, Lau J, Tzeng PJ, Lee CK, Zhan CJ, Dai MJ, Chien HC, Wu ST. Addressing bandwidth challenges in next generation high performance network systems with 3d ic integration. In: 2012 IEEE 62nd electronic components and technology conference, 2012; pp. 1040–1046.

  6. Dofe J, Yu Q, Wang H, Salman E. Hardware security threats and potential countermeasures in emerging 3D ICs. In: Proceedings of GLSVLSI’16. ACM, 2016; pp. 69–74.

  7. Juan D, Garg S, Marculescu D. Statistical thermal evaluation and mitigation techniques for 3D Chip-Multiprocessors in the presence of process variations. In: Proceedings of DATE’11, 2011; pp. 1–6.

  8. Garg S, Marculescu D. System-level process variability analysis and mitigation for 3D MPSoCs. In: Proceedings of DATE’09, 2009; pp. 604–609.

  9. Dofe J, Yu Q. Exploiting PDN Noise to Thwart Correlation Power Analysis Attacks in 3D ICs. In: 2018 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), 2018; pp. 1–6.

  10. Tehranipoor M, Koushanfar F. A survey of hardware trojan taxonomy and detection. IEEE Des Test Comput. 2010;27(1):10–25.

    Article  Google Scholar 

  11. Narasimhan S, Wang X, Du D, Chakraborty RS, Bhunia S, TeSR: A robust Temporal Self-Referencing approach for Hardware Trojan detection. In: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust, 2011, pp. 71–74.

  12. Bhasin S, Regazzoni F. A survey on hardware trojan detection techniques. In: IEEE International Symposium on Circuits and Systems (ISCAS). 2015;2015:2021–4.

  13. Li H, Liu Q, Zhang J. A survey of hardware trojan threat and defense. Integration. 2016;55:426–37.

    Article  Google Scholar 

  14. Francq J, Frick F, Introduction to hardware trojan detection methods. In: Design, Automation Test in Europe Conference Exhibition (DATE). 2015;2015:770–5.

  15. Inoue T, Hasegawa K, Yanagisawa M, Togawa N, Designing hardware trojans and their detection based on a svm-based approach. In: Proceedings of ASICON’17, 2017; pp. 811–814.

  16. Zarrinchian G, Zamani MS. Latch-based structure: a high resolution and self-reference technique for hardware trojan detection. IEEE Trans Comput. 2017;66(1):100–13.

    Article  MathSciNet  Google Scholar 

  17. Salmani H, Tehranipoor M, Plusquellic J. A novel technique for improving hardware trojan detection and reducing trojan activation time. IEEE Trans Very Large Scale Integr VLSI Syst. 2012;20(1):112–25.

    Article  Google Scholar 

  18. Banga M, Hsiao MS. Odette: A non-scan design-for-test methodology for trojan detection in ics. In: IEEE international symposium on hardware-oriented security and trust. 2011;2011:18–23.

  19. Zhang Z, Yu Q, Modeling Hardware Trojans in 3D ICs. In: Proceedings of 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019; pp. 483–488.

  20. Mossa SF, Hasan SR, Elkeelany O. Hardware trojans in 3-D ICs due to NBTI effects and countermeasure. Integration. 2017;59:64–74.

    Article  Google Scholar 

  21. Hasan SR, Mossa SF, Elkeelany OSA, Awwad F. Tenacious hardware trojans due to high temperature in middle tiers of 3-d ics. In: 2015 IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS), 2015; pp. 1–4.

  22. Dofe J, Gu P, Stow D, Yu Q, Kursun E, Xie Y. Security threats and countermeasures in three-dimensional integrated circuits. In: Proceedings of the on Great Lakes Symposium on VLSI 2017. ACM, 2017; pp. 321–326.

  23. Madani S, Bayoumi M. A Security-Aware Pre-partitioning Technique for 3D Integrated Circuits. In: Proceedings of MTV’17, 2017; pp. 57–61.

  24. Alhelaly S, Dworak J, Manikas T, Gui P, Nepal K, Crouch AL. Detecting a trojan die in 3D stacked integrated circuits. In: 2017 IEEE North Atlantic Test Workshop (NATW), May 2017; pp. 1–6.

  25. Madani S, Madani MR, Dutta IK, Joshi Y, Bayoumi M. A hardware obfuscation technique for manufacturing a secure 3D IC. In: Proceedings of MWSCAS’18, 2018; pp. 318–323.

  26. Yang P, Marek-Sadowska M. Making split-fabrication more secure. In: 2016 IEEE/ACM international conference on computer-aided design (ICCAD), 2016; pp. 1–8.

  27. Salah K, Survey on 3d-ics thermal modeling, analysis, and management techniques. In: 2017 IEEE 19th electronics packaging technology conference (EPTC), 2017; pp. 1–4.

  28. King Jr CR, Thermal management of three-dimensional integrated circuits using inter-layer liquid cooling. Ph.D. dissertation, Georgia Institute of Technology (2012).

  29. Marinissen EJ, Challenges and emerging solutions in testing TSV-based 2 1 over 2D- and 3D-stacked ICs. In: Proceedings of DATE ’12, 2012; pp. 1277–1282.

  30. Ngo XT, Najm Z, Bhasin S, Roy DB, Danger J-L, Guilley S. Integrated sensor: a backdoor for hardware trojan insertions? In: Proceedings of 2015 Euromicro conference on digital system design, 2015; pp. 415–422.

  31. Zhang Z, Yu Q. 3D Thermal Triggered Hardware Trojan. Hardware Demo. In: IEEE International Symposium on Hardware Oriented Security and Trust (HOST), 2019. https://easychair.org/smart-program/HOST2019/2019-05-08.html#talk:90210.

  32. Satheesh SM, Salman E. Power distribution in TSV-based 3-D processor-memory stacks. IEEE J Emerg Select Top Circ Syst. 2012;2(4):692–703.

    Article  Google Scholar 

  33. Nguyen VH, Christie P, Heringa A, Kumar A, Ng R. An analysis of the effect of wire resistance on circuit level performance at the 45-nm technology node. In: Proceedings of IITC’05, 2005; pp. 191–193.

  34. Jabbar MH, Houzet D, Hammami O. 3D multiprocessor with 3D NoC architecture based on Tezzaron technology. In: Proceedings of 3DIC ’11, 2012; pp. 1–5.

  35. Jiang L, Xu Q, Fault-Tolerant 3D-NoC architecture and design: recent advances and challenges. In Proceedings of NOCS ’15, 2015; pp. 7:1–7:8. https://doi.org/10.1145/2786572.2788709.

  36. Frey J, Yu Q, A hardened network-on-chip design using runtime hardware Trojan mitigation methods. Integration, the VLSI Journal, 2017; 56, 15–31. Available: http://www.sciencedirect.com/science/article/pii/S0167926016300311.

  37. Lin L, Burleson W, Paar C. MOLES: Malicious off-chip leakage enabled by side-channels. 2009 IEEE/ACM International Conference on Computer-Aided Design - Digest of Technical Papers, 2009; pp. 117–122.

Download references

Acknowledgements

This work was supported in part by Semiconductor Research Corporation (SRC) and National Science Foundation award no. 1717130.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Qiaoyan Yu.

Ethics declarations

Conflict of interest

The authors declare that they have no conflict of interest.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

This article is part of the topical collection “Hardware-Assisted Security Solutions for Electronic Systems” guest edited by Himanshu Thapliyal, Saraju P. Mohanty, Wujie Wen and Yiran Chen.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Zhang, Z., Dofe, J., Yellu, P. et al. Comprehensive Analysis on Hardware Trojans in 3D ICs: Characterization and Experimental Impact Assessment. SN COMPUT. SCI. 1, 233 (2020). https://doi.org/10.1007/s42979-020-00220-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • DOI: https://doi.org/10.1007/s42979-020-00220-0

Keywords

Navigation