1 Introduction

Recently, the ever-growing interest for 3D integration of integrated circuit (IC) with high speed, improved bandwidth, low resistivity and RC delay, high electromigration resistance, high-temperature performance and low cost made Cu interconnections a preferred choice [1, 2]. In comparison with solder bumps, Cu pillars allow precise control of the bump diameter and standoff height, enabling the creation of finer-pitch joints. Cu direct bonding has been studied intensively recently, as the indirect solder-capped Cu bonding faced reliability issues [3,4,5].

Thermocompression bonding is currently the dominant Cu pillars bonding technology, which suffers from low throughput due to long process time. For instance, a thermocompression bonding recipe for silicon wafers with Cu interfaces was reported to be at 400–450 °C, while the wafers remained at this temperature for 20–40 min. The forces in the range of 20–80 kN depending on the wafer diameter and the density of the Cu features were also exerted [5]. Correspondingly, the conventional thermocompression bonding cannot be validated as a CMOS compatible process, since high pressures and temperatures are involved.

Several approaches have been introduced recently to make Cu direct bonding compatible with CMOS processing, which means bonding at lower temperatures, pressures and time. For instance, low-temperature Cu bonding with surface activated bonding (SAB) was proposed by Kim et al. [6] which requires chemical mechanical polishing (CMP) and high vacuum bonding. Although even room temperature direct Cu bonding was reported to be feasible with SAB, the process and equipment required for this method are complex for utilizing in mass production particularly for die-to-die and die-to-wafer bonding [7]. Recently, variations to thermocompression bonding with low thermal budgets were introduced, in which Cu was treated or passivated before the bonding. Some examples of these surface treatments are stress engineering of Cu films [1], surface passivation of Cu by using self-assembled monolayers [8] or thin film coatings [9]. As another approach, low-temperature thermocompression bonding was sought by utilizing ultra-high pressure of 3.2 GPa. The shear strength of 21 MPa was achieved at room temperature, which increased up to ca. 100 MPa at 300 °C [10]. Lately, low-temperature hybrid bonding was also exercised for die-to-die and die-to-wafer bonding. Here, dielectric bonding took place at ambient temperatures, while the Cu direct bonding formed at low annealing temperatures ranging from 150 to 300 °C [11].

In this paper, ultrasonic-assisted thermocompression (also known as thermosonic or TS/US bonding) is studied for direct Cu flip-chip bonding, where additional ultrasonic energy softens the Cu, allowing bonding to be achieved with lower force and temperature and in a much shorter time [12]. Thermosonic flip-chip bonding was primarily implemented for Au-bumped dies or wafers since Au is rather a soft metal and has no oxidation-related bonding issues [13]. Cu in comparison has higher hardness and oxidation rate. Nonetheless, thermosonic flip-chip bonding of Cu pillars has raised great interest recently, since Cu pillars are currently the ideal choice for ultra-fine-pitch flip-chip bonding [12, 14,15,16,17,18]. In the majority of the existing reports on Cu thermosonic bonding, Cu pillars were rather protected with thin films [12, 14] or solder caps [17, 18], which rendered an indirect Cu-to-Cu bonding.

The first attempts for direct Cu thermosonic flip-chip bonding were reported by Arai et al. [15, 16]. They investigated the thermosonic bonding of Cu bumps with the heights of 5 µm, 20 µm and 40 µm, where 20 µm pillars were reported to have better bonding strengths in comparison with the other bumps. In those studies, Si dies with Cu pillars were bonded to blank Cu layer on Si substrates [15, 16]. In the current study, however, Cu-pillared Si chips are bonded face to face to Cu-pillared Si chips. The compressive force range in this study is also considerably lower than the one in previous studies, which is of advantage for several applications.

2 Experimental procedure

The silicon test die had a size of 4 × 15 mm2 and a thickness of 725 μm, with Ø 100 μm cylinder Cu pillars of 30 μm height and 350 μm pitch. The Cu pillars were electroplated on a sputtered Ti/Cu adhesion layer directly on a silicon wafer. There were 366 Cu pillars on one die which are symmetrically distributed as bump arrays. A scanning electron microscopic (SEM) image of the Cu pillars is depicted in Fig. 1. In Fig. 2, a schematic demonstration of thermosonic bonding instrumentation for die stacking is shown. As inferred from this picture, two dies were bonded together face to face.

Fig. 1
figure 1

SEM image of Cu pillars on the silicon wafer

Fig. 2
figure 2

Schematic demonstration of thermosonic bonding instrumentation for die stacking

Prior to thermosonic bonding, the dies were cleansed with 4 vol% hydrochloric acid solution for 10 s to remove potential contaminants and oxidized layer from the surface. In another study, air plasma irradiation was applied to Cu surfaces to remove organic contaminants and oxide films [15]. A semi-automatic flip-chip bonder (Fineplacer Lambda, Finetech GmbH) equipped with a 20 W ultrasonic bonding head was used to conduct the thermosonic bonding.

A rectangular ultrasonic die collet with a vacuum hole was fabricated which had the same size as the die to apply the ultrasonic energy efficiently and to hold the die securely during the bonding process. The first chip was picked from the waffle pack or gel pack by the die collet. The co-planarity of the die collet and the picked die was precisely adjusted via a four-point calibration test block and a pressure-sensitive foil. The ultrasonic transducer was also calibrated for each sample, in terms of resonance frequency (60 kHz) and ultrasonic power (nominal vs actual power).

Afterward, the second chip was placed on a heating stage and precisely aligned (± 0.5 µm precision) with the picked chip via an optical inspection module. The picked die was then brought in contact with the second die, and a constant static bond load of 3 or 6 MPa was applied. The hot plate was heated up to the desired temperature, while the ultrasonic head remained at ambient temperature. In order to determine the interface temperature, a simple FEM model of the assembly was created as shown in Fig. 3. The actual temperature of the bonding interface versus the stage temperature is plotted in Fig. 4. The total process time consisting of die pick and placement, heating up and cooling down was 3 min, while the ultrasonic vibration was applied only for 300 to 500 ms. During the bonding, formic acid vapor was introduced to protect the area from oxidation. Although ultrasonic vibrations break the oxide film of the Cu surface, the rapid re-oxidation of the Cu surfaces hinders the atomic diffusion and solid-state bonding of Cu to Cu under atmospheric conditions [19]. A series of samples were bonded by thermosonic bonding at eight different ultrasonic powers (0, 0.5, 1, 2, 4, 6, 8 and 10 W), two static bonding pressures (3 and 6 MPa) and four bonding temperatures (200, 250, 300 and 350 °C).

Fig. 3
figure 3

FEM simulation of temperature gradient in the assembly when the stage is heated to 200 °C

Fig. 4
figure 4

Calculated Cu interface temperature versus the stage temperature

Die shear testing (Optima 4000 plus, Nordson Dage) was used to quantify the bonding strength. Four samples per parameter were sheared at the speed of 30 µm/s, and the average shear stress was recorded. Underfills, which are normally applied in the flip-chip bonding with the bumps, were avoided. Therefore, the bonding strength of the whole assembly was merely dependent on the strength of the Cu bonds. The cross section of the bonded samples and the fracture surface of the sheared samples were examined by the optical and scanning electron microscopy (SEM, Helios G4, thermo scientific). For the topography analysis, a white light interferometer (MSA 500, Polytec) was utilized. The macroscopic evolution of the bonded interfaces was studied with transmission electron microscopy (TEM, Tecnai F20). Electron backscatter diffraction (EBSD) analysis was also performed to evaluate the grain boundaries along the interface.

3 Results and discussion

The thermosonic bonding experiments with different parameters were carried out to determine the optimal process window, in terms of shear strength of the resulting assembly. The propagation of ultrasonic energy from the die collet to the die and the Cu-to-Cu interface depends on the ultrasonic power, the bond force and the co-planarity of the counterparts. Arai et al. also indicated that the co-planarity between the ultrasonic head and the substrate is the critical factor for ultrasonic bonding, since it enabled all bumps to bond simultaneously [15]. Therefore, the most crucial step for conducting the thermosonic bonding was to assure the co-planarity of two dies, which was performed via a calibration test block.

Figure 5 shows the variations of shear strength as a function of ultrasonic energy and static force. As inferred from Fig. 5, there is a compromise between static pressure and ultrasonic energy. For instance, at a static pressure of 3 MPa, the shear strength improved gradually with the increase in ultrasonic energy, reached a plateau region at about 2 W and then decreased. As seen, when compared to the joints made at 3 MPa pressure, the shear strengths of the joints at 6 MPa pressure were significantly higher. The bond strength of the samples under 6 MPa static pressure increased with ultrasonic energy, reached almost 70 MPa at 6 W and then dramatically decreased.

Fig. 5
figure 5

The effects of ultrasonic energy and static pressure on the shear strength of the joints

In fact, when ultrasonic power increases, more vibration energy is transmitted to the chips. This generates localized heating at the interface and leads to Cu softening which yields to higher bond strength. However, when higher ultrasonic energy is applied, the large vibration of the chip might de-stabilize and impair the bonded region yielding inferior bonding strengths. It is noteworthy to mention that when ultrasonic energy was beyond 9 W, the chip movement and the displacement in the assembly were apparent.

The increase in the shear strength by applying higher static pressure was attributed to the fact that the static pressure prevents the sample from displacing during ultrasonic vibrations and helps the sample to stay fixed in its original position. In the previous study [15], thermosonic bonding was performed at a bonding force of 150 N which corresponds to a static pressure of 141 MPa. By implementing such a high compressive pressure, superior shear strength of ca. 127 MPa (9 g/bump) was reported. It might be of concern that, at such a high-pressure range, the contribution of thermocompression mechanism to the shear strength overweighs the thermosonic’s contribution.

In Fig. 6, the influence of temperature on the bond strength is illustrated. In fact, the temperature softens the Cu interfaces and leads to a higher plastic deformation of the pillars which encourages more metallic bonding. The main advantage of thermosonic bonding over the thermocompression bonding lies in the fact that ultrasonic vibrations also locally raise the temperature of the Cu interface. Hence, it can promote the atomic diffusion of Cu and consequently accelerate the bond formation by increasing the contact area. As inferred from Fig. 6, thermosonic (US) bonding surpassed thermocompression (TC) bonding in the low compression bonding regimes (up to 6 MPa). In the conventional thermocompression bonding of Cu pillars, much higher pressures (250–400 MPa) are usually applied [20]. Consequently, higher shear strengths up to 150 MPa were reported. With regard to the required bond strength for a die attachment, the minimum shear strength of 6.05 MPa is specified in the MIL-STD 883E (method 2019.5) for the chips in the size of the ones used in this study [21]. Therefore, it can be stated that by introducing even very low power ultrasonic vibration at bonding interface temperature of 141 °C (stage temperature of 200 °C), the Cu direct bonding can fulfill the requirements of die attachments.

Fig. 6
figure 6

The enhanced shear strength of the joints made by thermosonic (US) bonding in comparison with thermocompression (TC) bonding

In Figs. 7, 8 and 9, the cross-sectional images of the bonded Cu pillars are presented. As implied from these figures, Cu pillars were precisely aligned and bonded to their counterparts. By comparing Figs. 8 and 9, one can deduce that the interfacial bond line is the main difference between the two samples. The absence of a discernable bond line at the pillars interface and the absence of large voids in the SEM images can be regarded as a clear indication of successful bonding.

Fig. 7
figure 7

The cross-sectional SEM image of the silicon dies bonded upon thermosonic direct Cu pillars process

Fig. 8
figure 8

Cross-sectional SEM image of two Cu pillars bonded at 350 °C with ultrasonic energy of 6 W

Fig. 9
figure 9

Cross-sectional SEM image of two Cu pillars bonded at 200 °C with ultrasonic energy of 6 W

It is postulated that the visible bond line is attributed to the low bonding temperature. At low bonding temperature (Fig. 9), the diffusion of interface atoms was not adequate, and the two Cu pillar could not be bonded completely, while at a higher temperature, the atomic diffusion fostered, and the bond line disappeared (Fig. 8). In fact, the extension of bonded areas (as manifested by the disappearing of the bond line in higher temperatures) justifies the higher bond strength of samples at higher temperatures. It is noteworthy to mention that the thermosonic bonding at lower stage temperatures (< 200 °C) was also assessed; however, due to the deficient diffusion, the bonding was not successful and the samples were either detached during handling or immediately separated in the die shear examinations.

In Fig. 10, the corresponding fracture surface of the thermosonic-bonded sample is presented. The fracture surface appeared to be mainly in the bulk of the pillars and/or the interface between the pillar and the seed Cu/Ti layer. The fractured area in Fig. 10 was also in good agreement with the cross-sectional images, in which strong and crack-free interfaces were deduced.

Fig. 10
figure 10

Sheared (fracture) surface of Cu pillars bonded at 350 °C (ultrasonic energy of 6 W); the Cu–Cu interface remained intact and the fracture occurred through the bulk of pillars and pillars/seed layer interface

3.1 Implications for die-to-wafer bonding

3.1.1 As a permanent bonding process

Thermosonic direct Cu pillar bonding was proved here to be a robust methodology for 3D die stacking which can be further implemented for multi-die-to-wafer bonding. Since thermosonic flip-chip bonding is based on inter-diffusion of Cu atoms, neither ultra-clean and polished surfaces nor ultra-high vacuum is required as opposed to the SAB bonding. Moreover, die bonding under vacuum condition renders a slow and rather inefficient process. By considering the low throughput of the thermocompression bonding due to the long process time as well as high temperature and pressures, thermosonic bonding can be proposed as a faster chip-to-wafer or chip-to-chip alternative. As shown in Fig. 11a, for permanent bonding applications, the stage temperature of > 300 °C and pressure of 6 MPa are preferred. Here, strong Cu bonds with a shear strength of up to 74 MPa could be generated in a few milliseconds.

Fig. 11
figure 11

Possible implications of thermosonic flip-chip bonding of Cu pillars for die-to-wafer integration; a as a single-step process or b as a tacking process followed by a global post-processing

3.1.2 As a tacking process

Thermosonic process can be considered as an instant tacking process followed by a global annealing or global thermocompression bonding, which renders a high yield and economic technology. The process flow is illustrated in Fig. 11b. Here, the dies are at room temperature, while the wafer is heated and kept at 200 °C. The tack-bonded samples possessed a shear strength of 24 ± 3 MPa, sufficient for handling and further processing. The effects of the global thermocompression post-processing at different temperatures and pressures on the shear strength enhancement of these samples are presented in Fig. 12. It can be inferred from this figure that by employing high forces and temperatures, the shear strength increases dramatically. As an example, by applying global thermocompression bonding at 300 °C and 90 MPa for 5 min, the average shear strength of 102 ± 4 MPa was achieved. In Fig. 13, the microstructural evolution of interface upon a global thermocompression bonding is presented. The sample in this figure was tack-bonded in a similar bonding condition to the one in Fig. 9. Although the interface could be distinguished all over the bond line, the bonding strength was sufficient for a two-step bonding. After global thermocompression bonding at 350 °C and 90 MPa, the bonding interface completely disappeared and turned into a grain boundary. The proposed mechanism for bond line evolution upon thermosonic tacking and global bonding is illustrated in Fig. 13. The EBSD analysis of the interface also validated that the bond line was completely converted to the high-angle grain boundaries. As a result, superior shear strength of 110 MPa was recorded for this sample. Conclusively, it was affirmed that even the weakly thermosonic-bonded samples could be strengthened by subsequent post-processing.

Fig. 12
figure 12

The effects of the global thermocompression post-processing at different temperatures and pressures on the shear strength enhancement of samples tack-bonded at 200 °C with an initial bond strength of 24 ± 3 MPa

Fig. 13
figure 13

TEM cross section of Cu pillars before (a) and after global thermocompression processing at 350 °C and 90 MPa (b); the EBSD grain map shows the grain size distribution of the bonded sample after global bonding in random color (the interface is located in the middle of the figures)

4 Conclusion

In the current study, thermosonic direct Cu pillar bonding for 3D die stacking was investigated and compared with the respective thermocompression bonding. If the processing temperature, pressure and time constitute the iron triangle of the Cu bonding, thermosonic bonding showed privileges in all sides of this triangle, i.e., lower bonding time down to milliseconds, lower bonding pressure down to 6 MPa and lower bonding temperature down to 140 °C. Correspondingly, the effects of ultrasonic energy, temperature and static pressure on the joints were investigated and the optimal bonding parameters in terms of bond strength were determined. It was also proposed to use thermosonic bonding process not only as a permanent bonding process but also as a low-temperature tacking process. Future work will be devoted to investigating the long-term reliability and electrical performance of the thermosonic-bonded Cu pillars.