Skip to main content
Log in

Soft error reliability in advanced CMOS technologies-trends and challenges

  • Article
  • Published:
Science China Technological Sciences Aims and scope Submit manuscript

Abstract

With the decrease of the device size, soft error induced by various particles becomes a serious problem for advanced CMOS technologies. In this paper, we review the evolution of two main aspects of soft error-SEU and SET, including the new mechanisms to induced SEUs, the advances of the MCUs and some newly observed phenomena of the SETs. The mechanisms and the trends with downscaling of these issues are briefly discussed. We also review the hardening strategies for different types of soft errors from different perspective and present the challenges in testing, modeling and hardening assurance of soft error issues we have to address in the future.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  1. Heidel D F, Marshall P W, Label K A, et al. Low energy proton single-event-upset test results on 65 nm SOI SRAM. IEEE T Nuc Sci, 2008, 55: 3394–3400

    Article  Google Scholar 

  2. Sierawski B D, Mendenhall M H, Reed R A, et al. Muon-induced single event upsets in deep-submicron technology. IEEE T Nuc Sci, 2010, 57: 3273–3278

    Google Scholar 

  3. Fuketa H, Harada R, Hashimoto M, et al. Measurement and analysis of alpha-particle-induced soft errors and multiple cell upsets in 10T subthreshold SRAM. IEEE T Dev Mat Rel, 2013, PP: 1–1

    Google Scholar 

  4. Ahlbin J R, Massengill L W, Bhuva B L, et al. Single-event transient pulse quenching in advanced CMOS logic circuits. IEEE T Nuc Sci, 2009, 56: 3050–3056

    Article  Google Scholar 

  5. Ferlet-Cavrois V, Paillet P, Mcmorrow D, et al. New insights into single event transient propagation in chains of inverters-evidence for propagation-induced pulse broadening. IEEE T Nuc Sci, 2007, 54: 2338–2346

    Article  Google Scholar 

  6. Autran J L, Munteanu D, Roche P, et al. Soft-errors induced by terrestrial neutrons and natural alpha-particle emitters in advanced memory circuits at ground level. Micro Rel. 2010, 50: 1822–1831

    Article  Google Scholar 

  7. Cannon E H, Cabanas-Holmen M, Wert J, et al. Heavy Ion, high-energy, and low-energy proton SEE sensitivity of 90-nm RHBD SRAMs. IEEE T Nuc Sci, 2010, 57: 3493–3499

    Google Scholar 

  8. Artola L, Hubert G, Warren K M, et al. SEU prediction from SET modeling using multi-node collection in bulk transistors and SRAMs down to the 65 nm technology node. IEEE T Nuc Sci, 2011, 58: 1338–1346

    Article  Google Scholar 

  9. Raine M, Hubert G, Paillet P, et al. Implementing realistic heavy ion tracks in a SEE prediction tool: Comparison between different approaches. IEEE T Nuc Sci, 2012, 59: 950–957

    Article  Google Scholar 

  10. Martinie S, Autran J, Sauze S, et al. Underground experiment and modeling of alpha emitters induced soft-error rate in CMOS 65 nm SRAM. IEEE T Nuc Sci, 2012, 59: 1048–1053

    Article  Google Scholar 

  11. Seifert N, Gill B, Jahinuzzaman S, et al. Soft error susceptibilities of 22 nm Tri-gate devices. IEEE T Nuc Sci, 2012, 59: 2666–2673

    Article  Google Scholar 

  12. Dodd P E, Shaneyfelt M R, Felix J A, et al. Production and propagation of single-event transients in high-speed digital logic ICs. IEEE T Nuc Sci, 2004, 51: 3278–3284

    Article  Google Scholar 

  13. Gasiot G, Giot D, Roche P. Alpha-induced multiple cell upsets in standard and radiation hardened SRAMs manufactured in a 65 nm CMOS technology. IEEE T Nuc Sci, 2006, 53: 3479–3486

    Article  Google Scholar 

  14. Chatterjee I, Bhuva B L, Shi-Jie W, et al. Influence of user-controlled parameters in alpha particle-induced single-event error rates in commercial SRAM cells. IEEE T Nuc Sci, 2012, 59: 872–879

    Article  Google Scholar 

  15. Bendel W L, Petersen E L. Proton upsets in orbit. IEEE T Nuc Sci, 1983, 30: 4481–4485

    Article  Google Scholar 

  16. Rodbell K P, Heidel D F, Tang H H K, et al. Low-energy proton-induced single-event-upsets in 65 nm node, Silicon-on-Insulator, latches and memory Cells. IEEE T Nuc Sci, 2007, 54: 2474–2479

    Article  Google Scholar 

  17. Sierawski B D, Pellish J A, Reed R A, et al. Impact of low-energy proton induced upsets on test methods and rate predictions. IEEE T Nuc Sci, 2009, 56: 3085–3092

    Article  Google Scholar 

  18. Barak J, Levinson J, Victoria M, et al. Direct processes in the energy deposition of protons in silicon. IEEE T Nuc Sci, 1996, 43: 2820–2826

    Article  Google Scholar 

  19. Duzellier S, Ecoffet R, Falguere D, et al. Low energy proton induced SEE in memories. IEEE T Nuc Sci, 1997, 44: 2306–2310

    Article  Google Scholar 

  20. Heidel D F, Marshall P W, Pellish J A, et al. Single-event upsets and multiple-bit upsets on a 45 nm SOI SRAM. IEEE T Nuc Sci, 2009, 56: 3499–3504

    Article  Google Scholar 

  21. Seifert N, Gill B, Pellish J A, et al. The susceptibility of 45 and 32 nm bulk CMOS latches to low-energy protons. IEEE T Nuc Sci, 2011, 58: 2711–2718

    Article  Google Scholar 

  22. Howe C L, Weller R A, Reed R A, et al. Role of heavy-ion nuclear reactions in determining on-orbit single event error rates. IEEE T Nuc Sci, 2005, 52: 2182–2188

    Article  Google Scholar 

  23. Warren K M, Weller R A, Mendenhall M H, et al. The contribution of nuclear reactions to heavy ion single event upset cross-section measurements in a high-density SEU hardened SRAM. IEEE T Nuc Sci, 2005, 52: 2125–2131

    Article  Google Scholar 

  24. Dodd P E, Schwank J R, Shaneyfelt M R, et al. Impact of heavy ion energy and nuclear interactions on single-event upset and latchup in integrated circuits. IEEE T Nuc Sci, 2007, 54: 2303–2311

    Article  Google Scholar 

  25. Ibe E, Taniguchi H, Yahagi Y, et al. Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule. IEEE T Electr Dev, 2010, 57: 1527–1538

    Article  Google Scholar 

  26. Ziegler J F. Terrestrial cosmic rays. IBM J Res Dev. 1996, 40: 19–39.

    Article  Google Scholar 

  27. Measurement and reporting of alpha particle and terrestrial cosmic ray-induced soft errors in semiconductor devices. JESD 89A, 2006

  28. Baggio J, Ferlet-Cavrois V, Duarte H, et al. Analysis of proton/neutron SEU sensitivity of commercial SRAMs-application to the terrestrial environment test method. IEEE T Nuc Sci, 2004, 51: 3420–3426

    Article  Google Scholar 

  29. Yahagi Y, Ibe E, Takahashi Y, et al. Threshold energy of neutron-induced single event upset as a critical factor. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2004. 669–670

    Google Scholar 

  30. Hands A, Dyer C S, Lei F. SEU rates in atmospheric environments: Variations due to cross-section fits and environment models. IEEE T Nuc Sci, 2009, 56: 2026–2034

    Article  Google Scholar 

  31. Wen S, Pai S Y, Wong R, et al. B10 finding and correlation to thermal neutron soft error rate sensitivity for SRAMs in the sub-micron technology. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2010. 31–33

    Google Scholar 

  32. Slayman C. Soft errors-Past history and recent discoveries. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2010. 25–30

    Google Scholar 

  33. Autran J L, Serre S, Semikh S, et al. Soft-error rate induced by thermal and low energy neutrons in 40 nm srams. IEEE T Nuc Sci, 2012, 59: 2658–2665

    Article  Google Scholar 

  34. Olmos M, Gaillard R, Van O A, et al. Investigation of thermal neutron induced soft error rates in commercial srams with 0.35 μm to 90 nm technologies. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2006. 212–216

    Google Scholar 

  35. Wallmark J T, Marcus S M. Minimum size and maximum packing density of nonredundant semiconductor devices. Proc IRE, 1962, 50: 286–298

    Article  Google Scholar 

  36. Ziegler F J, Lanford W A. Effect of cosmic rays on computer memories. Science. 1979, 206: 776–788

    Article  Google Scholar 

  37. Sierawski B D, Reed R A, Mendenhall M H, et al. Effects of scaling on muon-induced soft errors. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2011. 3C.3.1–3C.3.6

    Google Scholar 

  38. Seifert N, Gill B, Foley K, et al. Multi-cell upset probabilities of 45nm high-k + metal gate SRAM devices in terrestrial and space environments. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2008. 181–186

    Google Scholar 

  39. Dixit A, Wood A. The impact of new technology on soft error rates. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2011. 5B: 4.1–4.7

    Google Scholar 

  40. Cannon E H. Soft errors from neutron and proton-induced multiple-node events. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2010. 1019–1025

    Google Scholar 

  41. Ibe E, Chung S S, Shijie W, et al. Spreading diversity in multi-cell neutron-induced upsets with device scaling. In: Custom Integrated Circuits Conference. Piscataway: IEEE, 2006. 437–444

    Google Scholar 

  42. Baze M P, Hughlock B, Wert J, et al. Angular dependence of single event sensitivity in hardened flip/flop designs. IEEE T Nuc Sci, 2008, 55: 3295–3301

    Article  Google Scholar 

  43. Chatterjee I, Narasimham B, Mahatme N N, et al. Single-event charge collection and upset in 40-nm dual- and triple-well bulk CMOS SRAMs. IEEE T Nuc Sci, 2011, 58: 2761–2767

    Article  Google Scholar 

  44. Amusan O A, Witulski A F, Massengill L W, et al. Charge collection and charge sharing in a 130 nm CMOS technology. IEEE T Nuc Sci, 2006, 53: 3253–3258

    Article  Google Scholar 

  45. Ruckerbauer F X, Georgakos G. Soft error rates in 65nm SRAMs-Analysis of new phenomena. In: Proceedings of the IEEE International On-Line Testing Symposium. Piscataway: IEEE, 2007. 203–204

    Google Scholar 

  46. Biwei L, Shuming C, Bin L, et al. Temperature dependency of charge sharing and MBU sensitivity in 130-nm CMOS technology. IEEE T Nuc Sci, 2009, 56: 2473–2479

    Article  Google Scholar 

  47. Cressler J D, Mantooth H A. Extreme environment electronics. Boca Raton: CRC Press, 2012. 293–294

    Book  Google Scholar 

  48. Baumann R C. Radiation-induced soft errors in advanced semi-conductor technologies. IEEE T Dev Mat Rel, 2005, 5: 305–316

    Article  Google Scholar 

  49. Mavis D G, Eaton P H. Soft error rate mitigation techniques for modern microcircuits. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2002. 216–225

    Google Scholar 

  50. Mitra S, Ming Z, Seifert N, et al. Built-in soft error resilience for robust system design. In: Proceedings of the IEEE International Conference on Integrated Circuit Design and Technology. Piscataway: IEEE, 2007. 1–6

    Google Scholar 

  51. Smith F. Single event upset mitigation by means of a sequential circuit state freeze. Micro Rel.i 2012, 52: 1233–1240

    Article  Google Scholar 

  52. Amusan O A, Steinberg A L, Witulski A F, et al. Single event upsets in a 130 nm hardened latch design due to charge sharing. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2007. 306–311

    Google Scholar 

  53. Sanghyeon B, Jongsun B, Soonyoung L, et al. Soft error issues with scaling technologies. In: Proceedings of the Asian Test Symposium. Piscataway: IEEE, 2012. 68–68

    Google Scholar 

  54. Abazari M A, Fazeli M, Patooghy A, et al. An efficient technique to tolerate MBU faults in register file of embedded processors. In: Proceedings of the Symposium on Computer Architecture and Digital Systems. Piscataway: IEEE, 2012. 115–120

    Google Scholar 

  55. Ernst D, Nam S K, Das S, et al. Razor: a low-power pipeline based on circuit-level timing speculation. In: Proceedings of the International Symposium on Microarchitecture. Piscataway: IEEE, 2003. 7–18

    Google Scholar 

  56. Zarandi H R, Miremadi S G, Argyrides C, et al. Fast SEU detection and correction in LUT configuration bits of SRAM-based FPGAs. In: Proceedings of the IEEE International Parallel and Distributed Processing Symposium. Piscataway: IEEE, 2007. 1–6

    Google Scholar 

  57. Naseer R, Draper J. Parallel double error correcting code design to mitigate multi-bit upsets in SRAMs. In: Proceedings of the European Solid-State Circuits Conference. Piscataway: IEEE, 2008. 222–225

    Google Scholar 

  58. Uemura T, Tanabe R, Matusyama H. Mitigation technique against multi-bit-upset without area, performance and power overhead. In: Proceedings of the IEEE International Reliability Physics Symposium. Piscataway: IEEE, 2012. 5B. 4.1–5B. 4.6

    Google Scholar 

  59. Cabanas-Holmen M, Cannon E H, Rabaa S, et al. Robust SEU mitigation of 32 nm dual redundant flip-flops through interleaving and sensitive node-pair spacing. IEEE T Nuc Sci, 2013, 60: 4374–4380

    Article  Google Scholar 

  60. Pagiamtzis K, Azizi N, Najm F N. A soft-error tolerant content-addressable memory (CAM) using an Error -Correcting -Match scheme. In: Proceedings of the IEEE Custom Integrated Circuits Conference. Piscataway: IEEE, 2006. 301–304

    Google Scholar 

  61. Sanghyeon B, Shijie W, Wong R. Minimizing soft errors in TCAM devices: A probabilistic approach to determining scrubbing intervals. IEEE T Cir Sys I, 2010, 57: 814–822

    Article  Google Scholar 

  62. Narasimham B, Bhuva B L, Holman W T, et al. The effect of negative feedback on single event transient propagation in digital circuits. IEEE T Nuc Sci, 2006, 53: 3285–3290

    Article  Google Scholar 

  63. Fazeli M, Miremadi S G, Ejlali A, et al. Low energy single event upset/single event transient-tolerant latch for deep submicron technologies. IET Com & Dig Tec, 2009, 3: 289–303

    Article  Google Scholar 

  64. Shivakumar P, Kistler M, Keckler S W, et al. Modeling the effect of technology trends on the soft error rate of combinational logic. In: Proceedings of the International Conference on Dependable Systems and Networks. Piscataway: IEEE, 2002. 389–398

    Chapter  Google Scholar 

  65. Mahatme N N, Jagannathan S, Loveless T D, et al. Comparison of combinational and sequential error rates for a deep submicron process. IEEE T Nuc Sci, 2011, 58: 2719–2725

    Article  Google Scholar 

  66. Mavis D G, Eaton P H. SEU and SET modeling and mitigation in deep submicron technologies. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2007. 293–305

    Google Scholar 

  67. Dasgupta S, Witulski A F, Bhuva B L, et al. Effect of well and substrate potential modulation on single event pulse shape in deep submicron CMOS. IEEE T Nuc Sci, 2007, 54: 2407–2412

    Article  Google Scholar 

  68. Cavrois V F, Pouget V, Mcmorrow D, et al. Investigation of the propagation induced pulse broadening (PIPB) effect on single event transients in SOI and bulk inverter chains. IEEE T Nuc Sci, 2008, 55: 2842–2853

    Article  Google Scholar 

  69. Ferlet-Cavrois V, Kobayashi D, Mcmorrow D, et al. Large SET duration broadening in a fully-depleted SOI technology-mitigation with body contacts. IEEE T Nuc Sci, 2010, 57: 1811–1819

    Article  Google Scholar 

  70. Atkinson N M, Ahlbin J R, Witulski A F, et al. Effect of transistor density and charge sharing on single-event transients in 90-nm bulk CMOS. IEEE T Nuc Sci, 2011, 58: 2578–2584

    Article  Google Scholar 

  71. Sayil S, Akkur A B, Gaspard Iii N. Single Event crosstalk shielding for CMOS logic. Microelectr J, 2009, 40: 1000–1006

    Article  Google Scholar 

  72. Sayil S, Boorla V K, Yeddula S R. Modeling single event crosstalk in nanometer technologies. IEEE T Nuc Sci, 2011, 58: 2493–2502

    Article  Google Scholar 

  73. Munteanu D, Autran J L. Modeling and simulation of single-event effects in digital devices and ics. IEEE T Nuc Sci, 2008, 55: 1854–1878

    Article  Google Scholar 

  74. Gadlage M J, Gouker P, Bhuva B L, et al. Heavy-ion-induced digital single event transients in a 180 nm fully depleted SOI process. IEEE T Nuc Sci, 2009, 56: 3483–3488

    Article  Google Scholar 

  75. Mikami N, Nakauchi T, Oyama A, et al. Role of the deep parasitic bipolar device in mitigating the single event transient phenomenon. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2009. 936–939

    Google Scholar 

  76. Chen J, Chen S, He Y, et al. Novel layout technique for single-event transient mitigation using dummy transistor. IEEE T Dev Mat Rel, 2013, 13: 177–184

    Article  MathSciNet  Google Scholar 

  77. Amusan O A, Massengill L W, Bhuva B L, et al. Design techniques to reduce SET pulse widths in deep-submicron combinational logic. IEEE T Nuc Sci, 2007, 54: 2060–2064

    Article  Google Scholar 

  78. Moen K A, Phillips S D, Wilcox E P, et al. Evaluating the influence of various body-contacting schemes on single event transients in 45-nm SOI CMOS. IEEE T Nuc Sci, 2010, 57: 3366–3372

    Google Scholar 

  79. Narasimham B, Bhuva B L, Schrimpf R D, et al. Effects of guard bands and well contacts in mitigating long SETs in advanced CMOS processes. In: Proceedings of the IEEE International On-Line Testing Symposium. Piscataway: IEEE, 2007. 1–6

    Google Scholar 

  80. Nieuwland A K, Jasarevic S, Jerin G. Combinational logic soft error analysis and protection. In: Proceedings of the IEEE International On-Line Testing Symposium. Piscataway: IEEE, 2006. 6-pp.

    Google Scholar 

  81. Pagliarini S N, Naviner L A D B, Naviner J F. Selective hardening methodology for combinational logic. In: Proceedings of the Latin American Test Workshop. Piscataway: IEEE, 2012. 1–6

    Google Scholar 

  82. Casey M C, Bhuva B L, Black J D, et al. HBD using cascode-voltage switch logic gates for SET tolerant digital designs. IEEE T Nuc Sci, 2005, 52: 2510–2515

    Article  Google Scholar 

  83. Quming Z, Choudhury M R, Mohanram K. Tunable transient filters for soft error rate reduction in combinational circuits. In: European Test Symposium Proceedings. Piscataway: IEEE, 2008.179–184

    Google Scholar 

  84. Srinivasan V, Sternberg A L, Duncan A R, et al. Single-event mitigation in combinational logic using targeted data path hardening. IEEE T Nuc Sci, 2005, 52: 2516–2523

    Article  Google Scholar 

  85. Xu W, Wang J, Hu Y, et al. In-place FPGA retiming for mitigation of variational single-event transient faults. IEEE T Cir & Sys, 2011, 58: 1372–1381

    Article  MathSciNet  Google Scholar 

  86. Limbrick D B, Black D A, Dick K, et al. Impact of logic synthesis on soft error vulnerability using a 90-nm bulk CMOS digital cell library. In: Proceedings of IEEE Southeastcon. Piscataway: IEEE, 2011. 430–434

    Google Scholar 

  87. Teifel J. Self-Voting Dual-Modular-Redundancy circuits for singleevent-transient mitigation. IEEE T Nuc Sci, 2008, 55: 3435–3439

    Article  Google Scholar 

  88. Shuler R L, Balasubramanian A, Narasimham B, et al. The effectiveness of TAG or Guard-Gates in SET suppression using delay and Dual-rail configurations at 0.35 μm. IEEE T Nuc Sci, 2006, 53: 3428–3431

    Article  Google Scholar 

  89. Roeed K, Brugger M, Kramer D, et al. Method for measuring mixed field radiation levels relevant for SEEs at the LHC. IEEE T Nuc Sci, 2012, 59: 1040–1047

    Article  Google Scholar 

  90. Loveless T D, Kauppila J S, Jagannathan S, et al. On-chip measurement of single-event transients in a 45 nm silicon-on-insulator technology. IEEE T Nuc Sci, 2012, 59: 2748–2755

    Article  Google Scholar 

  91. Warren K M, Sternberg A L, Weller R A, et al. Integrating circuit level simulation and Monte-Carlo radiation transport code for single event upset analysis in SEU hardened circuitry. IEEE T Nuc Sci, 2008, 55: 2886–2894

    Article  Google Scholar 

  92. Warren K M, Wilkinson J D, Weller R A, et al. Predicting neutron induced soft error rates: Evaluation of accelerated ground based test methods. In: IEEE International Reliability Physics Symposium Proceedings. Piscataway: IEEE, 2008. 473–477

    Google Scholar 

  93. Hubert G, Duzellier S, Inguimbert C, et al. Operational SER calculations on the SAC-C orbit using the multi-scales single event phenomena predictive platform (MUSCA SEP3). IEEE T Nuc Sci, 2009, 56: 3032–3042

    Article  Google Scholar 

  94. Hubert G, Bourdarie S, Artola L, et al. Multi-scale modeling to investigate the single event effects for space missions. Acta Astronautica, 2011, 69: 526–536

    Article  Google Scholar 

  95. Fang Y, Oates A S. Neutron-induced charge collection simulation of bulk FinFET SRAMs compared with conventional planar SRAMs. IEEE T Dev Mat Rel, 2011, 11: 551–554

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to ChaoHui He.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Tang, D., He, C., Li, Y. et al. Soft error reliability in advanced CMOS technologies-trends and challenges. Sci. China Technol. Sci. 57, 1846–1857 (2014). https://doi.org/10.1007/s11431-014-5565-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11431-014-5565-6

Keywords

Navigation