Skip to main content

Advertisement

Log in

A soft-error resilient low power static random access memory cell

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

Advent and rapid development of on-chip computation in applications based on internet of things has opened space for integration of human life processes with technology. Wireless sensor node is a crucial component of this integration and SRAM employed in these nodes is under renovation phase. In this work, a novel single ended, bit-line powered 10T static random-access memory cell is proposed which improves access time, dissipates low power and supports bit-interleaving. The performance metrics of proposed design are compared with conventional 6T (conv.6T), tunable 8T(TUA8T), transmission gate based 9T(TRD9T), PPN10T(P10T), Schmitt-trigger based 10T (STR10T), loop cutting 10T (LC10T), and data dependent 11T(DD11T) cell to affirm the novelty of observed results. The reduction of soft error in proposed 10T cell is indicated by 1.22\(\times\)/1.13\(\times\)/1.13\(\times\)/0.91\(\times\)/1.49\(\times\)/1.12\(\times\) improvement in critical charge in comparison to conv.6T/TUA8T/TRD9T/STR10T/P10T/LC10T cell respectively. The read and write delay of proposed cell is improved by 1.56\(\times\)/1.04\(\times\)//1.04\(\times\)/1.57\(\times\)/1.14\(\times\) /1.12\(\times\)/1.05\(\times\) and 3.29\(\times\)/2.29\(\times\)/2.49\(\times\)/7.94\(\times\)/7.15\(\times\)/3.38\(\times\)/2.32\(\times\) respectively compared to conv.6T/TUA8T/TRD9T/STR10T/P10T/DD11T/LC10T cell. Additionally, read and write static noise margin of proposed 10T cell is improved by 2.22\(\times\)/2.04\(\times\)/1\(\times\)/1.67\(\times\)/1.06\(\times\)/1.75\(\times\)/1\(\times\) and 1.18\(\times\)/1.22\(\times\)/0.89\(\times\)/0.93\(\times\)/1.23\(\times\)/1.33\(\times\)/0.98\(\times\) respectively in comparison to conv. 6T/TUA8T/TRD9T/STR10T/P10T/DD11T/ LC10T cell. This article further demonstrates 1.88\(\times\) and 1.26\(\times\) tighter disperse in read power variability and read current variability respectively as compared with that of conv. 6T SRAM cell. The reduced cell density due to more number of transistors is compensated by improved \(I_{on}\)/\(I_{off}\) ratio.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26
Fig. 27
Fig. 28
Fig. 29
Fig. 30
Fig. 31

Similar content being viewed by others

References

  1. Patrik, G., & Gattani, A. (2015). Memory plays a vital role in building the connected word. Electronic Design, 1, 1.

    Google Scholar 

  2. Hodge, V. J., O’Keefe, S., Weeks, M., & Moulds, A. (2014). Wireless sensor networks for condition monitoring in the railway industry: A survey. IEEE Transactions on Intelligent Transportation Systems, 16(3), 1088.

    Article  Google Scholar 

  3. Gupta, N., Makosiej, A., Vladimirescu, A., Amara, A., & Anghel, C. (2016). Ultra-compact SRAM design using TFETs for low power low voltage applications. In 2016 IEEE international symposium on circuits and systems (ISCAS) (pp. 594–597). IEEE.

  4. Jayakumar, H., Lee, K., Lee, W. S., Raha, A., Kim, Y., & Raghunathan, V. (2014). Powering the internet of things. In Proceedings of the 2014 international symposium on Low power electronics and design (pp. 375–380). IEEE.

  5. Lu, C., Raghunathan, V., & Roy, K. (2011). Efficient design of micro-scale energy harvesting systems. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 1(3), 254.

    Article  Google Scholar 

  6. Wang, A., Calhoun, B. H., & Chandrakasan, A. P. (2006). Sub-threshold design for ultra low-power systems (Vol. 95). Springer.

  7. Calhoun, B. H., & Chandrakasan, A. P. (2006). Static noise margin variation for sub-threshold SRAM in 65-nm CMOS. IEEE Journal of Solid-State Circuits, 41(7), 1673.

    Article  Google Scholar 

  8. Sachdeva, A., & Tomar, V. (2020). Design of multi-cell upset immune single-end SRAM for low power applications. AEU-International Journal of Electronics and Communications, 128, 153516.

    Google Scholar 

  9. Gupta, R., & Dasgupta, S. (2019). Process corners analysis of data retention voltage (DRV) for 6t, 8t, and 10t SRAM cells at 45 nm. IETE Journal of Research, 65(1), 114.

    Article  Google Scholar 

  10. Dasgupta, S., et al. (2017). 6T SRAM cell analysis for DRV and read stability. Journal of Semiconductors, 38(2), 025001.

    Article  Google Scholar 

  11. Chiu, Y. W., Hu, Y. H., Tu, M. H., Zhao, J. K., Chu, Y. H., Jou, S. J., & Chuang, C. T. (2014). 40 nm bit-interleaving 12T subthreshold SRAM with data-aware write-assist. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(9), 2578.

    Article  Google Scholar 

  12. Prasad, G., Mandi, B. C., & Ali, M. (2020). Power optimized SRAM cell with high radiation hardened for aerospace applications. Microelectronics Journal, 103, 104843.

    Article  Google Scholar 

  13. Calin, T., Nicolaidis, M., & Velazco, R. (1996). Upset hardened memory design for submicron CMOS technology. IEEE Transactions on Nuclear Science, 43(6), 2874.

    Article  Google Scholar 

  14. Kim, J. S., Chang, I. J., et al. (2017). We-quatro: Radiation-hardened SRAM cell with parametric process variation tolerance. IEEE Transactions on Nuclear Science, 64(9), 2489.

    Article  Google Scholar 

  15. Chatterjee, I., Narasimham, B., Mahatme, N., Bhuva, B., Reed, R., Schrimpf, R., et al. (2014). Impact of technology scaling on SRAM soft error rates. IEEE Transactions on Nuclear Science, 61(6), 3512.

    Article  Google Scholar 

  16. Ibe, E., Taniguchi, H., Yahagi, Y., Shimbo, K. I., & Toba, T. (2010). Impact of scaling on neutron-induced soft error in SRAMs from a 250 nm to a 22 nm design rule. IEEE Transactions on Electron Devices, 57(7), 1527.

    Article  Google Scholar 

  17. Verma, N., & Chandrakasan, A. P. (2008). A 256 kb 65 nm 8t subthreshold SRAM employing sense-amplifier redundancy. IEEE Journal of Solid-State Circuits, 43(1), 141.

    Article  Google Scholar 

  18. Sharma, V., Gopal, M., Singh, P., Vishvakarma, S. K., & Chouhan, S. S. (2019). A robust, ultra low-power, data-dependent-power-supplied 11T SRAM cell with expanded read/write stabilities for internet-of-things applications. Analog Integrated Circuits and Signal Processing, 98(2), 331.

    Article  Google Scholar 

  19. Sachdeva, A., & Tomar, V. (2021). Design of 10T SRAM cell with improved read performance and expanded write margin. IET Circuits, Devices & Systems, 15(1), 42.

    Article  Google Scholar 

  20. Chaudhry, A., & Kumar, M. J. (2004). Controlling short-channel effects in deep-submicron SOI MOSFETs for improved reliability: A review. IEEE Transactions on Device and Materials Reliability, 4(1), 99.

    Article  Google Scholar 

  21. Wen, L., Li, Z., & Li, Y. (2013). Single-ended, robust 8T SRAM cell for low-voltage operation. Microelectronics Journal, 44(8), 718.

    Article  Google Scholar 

  22. Sachdeva, A., & Tomar, V. (2020). Design of low power half select free 10-T static random access memory cell. Journal of Circuits, Systems and Computers. https://doi.org/10.1142/S0218126621500730.

    Article  Google Scholar 

  23. Sachdeva, A., & Tomar, V. (2020). Design of a stable low power 11-T static random access memory cell. Journal of circuits, Systems and Computers, 29, 2050206.

    Article  Google Scholar 

  24. Farkhani, H., Peiravi, A., & Moradi, F. (2014). A new asymmetric 6T SRAM cell with a write assist technique in 65 nm CMOS technology. Microelectronics Journal, 45(11), 1556.

    Article  Google Scholar 

  25. Gupta, S., Gupta, K., & Pandey, N. (2017). A 32-nm subthreshold 7T SRAM bit cell with read assist. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(12), 3473.

    Article  Google Scholar 

  26. Ansari, M., Afzali-Kusha, H., Ebrahimi, B., Navabi, Z., Afzali-Kusha, A., & Pedram, M. (2015). A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. Integration, 50, 91.

    Article  Google Scholar 

  27. Li, S., Lin, Z., Zhang, J., Peng, Y., & Wu, X. (2015). A novel 8t sram cell with improved read and write margins. In 2015 International conference on applied Science and Engineering Innovation. Atlantis Press.

  28. Wen, L., Li, Z., & Li, Y. (2012). Differential-read 8T SRAM cell with tunable access and pull-down transistors. Electronics Letters, 48(20), 1260.

    Article  Google Scholar 

  29. Pal, S., & Islam, A. (2015). Variation tolerant differential 8T SRAM cell for ultralow power applications. IEEE Transactions on Computer-Aided Design of Integrated Circuits and systems, 35(4), 549.

    Article  Google Scholar 

  30. Chang, M. F., Wu, J. J., Chen, K. T., Chen, Y. C., Chen, Y. H., Lee, R., et al. (2010). A differential data-aware power-supplied (d2ps) 8T SRAM cell with expanded write/read stabilities for lower VDDmin applications. IEEE Journal of Solid-State Circuits, 45(6), 1234.

    Article  Google Scholar 

  31. Kushwah, C., & Vishvakarma, S. K. (2015). A single-ended with dynamic feedback control 8T subthreshold SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(1), 373.

    Article  Google Scholar 

  32. Pasandi, G., & Fakhraie, S. M. (2014). An 8T low-voltage and low-leakage half-selection disturb-free SRAM using bulk-CMOS and FinFETs. IEEE Transactions on Electron Devices, 61(7), 2357.

    Article  Google Scholar 

  33. Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2017). Low leakage single bitline 9 t (sb9t) static random access memory. Microelectronics Journal, 62, 1.

    Article  Google Scholar 

  34. Liu, Z., & Kursun, V. (2008). Characterization of a novel nine-transistor SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 16(4), 488.

    Article  Google Scholar 

  35. Kulkarni, J. P., Kim, K., & Roy, K. (2007). A 160 mv robust Schmitt trigger based subthreshold SRAM. IEEE Journal of Solid-State Circuits, 42(10), 2303.

    Article  Google Scholar 

  36. Lo, C. H., & Huang, S. Y. (2011). PPN based 10T SRAM cell for low-leakage and resilient subthreshold operation. IEEE Journal of Solid-State Circuits, 46(3), 695.

    Article  Google Scholar 

  37. Ahmad, S., Gupta, M. K., Alam, N., & Hasan, M. (2016). Single-ended Schmitt-trigger-based robust low-power SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 24(8), 2634.

    Article  Google Scholar 

  38. Yadav, N., Shah, A. P., & Vishvakarma, S. K. (2017). Stable, reliable, and bit-interleaving 12T SRAM for space applications: A device circuit co-design. IEEE Transactions on Semiconductor Manufacturing, 30(3), 276.

    Article  Google Scholar 

  39. Upadhyay, P., Kar, R., Mandal, D., & Ghoshal, S. P. (2015). A design of low swing and multi threshold voltage based low power 12T SRAM cell. Computers & Electrical Engineering, 45, 108.

    Article  Google Scholar 

  40. Sachdeva, A., & Tomar, V. (2020). A Schmitt-trigger based low read power 12T SRAM cell. Analog Integrated Circuits and Signal Processing, 105(2), 275.

    Article  Google Scholar 

  41. Cmr, P., & Singh, A. K. (2010). Novel eight-transistor SRAM cell for write power reduction. IEICE Electronics Express, 7(16), 1175.

    Article  Google Scholar 

  42. Limachia, M. J., Thakker, R. A., & Kothari, N. J. (2018). Characterization of a novel 10T SRAM cell with improved data stability and delay performance for 20-nm tri-gated FinFET technology. Circuit World, 44(4), 187.

    Article  Google Scholar 

  43. Chang, I. J., Kim, J. J., Park, S. P., & Roy, K. (2009). A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE Journal of Solid-State Circuits, 44(2), 650.

    Article  Google Scholar 

  44. Mansore, S., & Gamad, R. (2018). A data-aware write-assist 10T SRAM cell with bit-interleaving capability. Turkish Journal of Electrical Engineering & Computer Sciences, 26(5), 2361–2373.

    Article  Google Scholar 

  45. Gavaskar, K., & Ragupathy, U. (2019). Low power self-controllable voltage level and low swing logic based 11T SRAM cell for high speed CMOS circuits. Analog Integrated Circuits and Signal Processing, 100(1), 61.

    Article  Google Scholar 

  46. Pasandi, G., & Fakhraie, S.M. (2013). A new sub-threshold 7T SRAM cell design with capability of bit-interleaving in 90 nm CMOS. In 2013 21st Iranian conference on electrical engineering (ICEE) (pp. 1–6). IEEE.

  47. Yamaoka, M., Maeda, N., Shinozaki, Y., Shimazaki, Y., Nii, K., Shimada, S., Yanagisawa, K., & Kawahara, T. (2005). Low-power embedded SRAM modules with expanded margins for writing. In ISSCC. 2005 IEEE international digest of technical papers. Solid-state circuits conference, 2005 (pp. 480–611). IEEE.

  48. Anh-Tuan, D., Low, J. Y. S., Low, J. Y. L., Kong, Z. H., Tan, X., & Yeo, K. S. (2011). An 8T differential SRAM with improved noise margin for bit-interleaving in 65 nm cmos. IEEE Transactions on Circuits and Systems I: Regular Papers, 58(6), 1252.

    Article  MathSciNet  Google Scholar 

  49. Alioto, M. (2010). Understanding DC behavior of subthreshold CMOS logic through closed-form analysis. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(7), 1597.

    Article  MathSciNet  Google Scholar 

  50. Pasandi, G., & Fakhraie, S. M. (2014). A 256-kb 9T near-threshold SRAM with 1k cells per bitline and enhanced write and read operations. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23(11), 2438.

    Article  Google Scholar 

  51. Rossi, D., Cazeaux, J. M., Omana, M., Metra, C., & Chatterjee, A. (2009). Accurate linear model for set critical charge estimation. IEEE Transactions on Very Large Scale Integration (VLSI) systems, 17(8), 1161.

    Article  Google Scholar 

  52. Jahinuzzaman, S. M., Sharifkhani, M., & Sachdev, M. (2009). An analytical model for soft error critical charge of nanometric SRAMs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17(9), 1187.

    Article  Google Scholar 

  53. Islam, A., & Hasan, M. (2012). A technique to mitigate impact of process, voltage and temperature variations on design metrics of SRAM cell. Microelectronics Reliability, 52(2), 405.

    Article  Google Scholar 

  54. Saha, S. K. (2014). Compact MOSFET modeling for process variability-aware VLSI circuit design. IEEE Access, 2, 104.

    Article  Google Scholar 

  55. Teman, A., Pergament, L., Cohen, O., & Fish, A. (2011). A 250 mv 8 kb 40 nm ultra-low power 9T supply feedback SRAM (SF-SRAM). IEEE Journal of Solid-State Circuits, 46(11), 2713.

    Article  Google Scholar 

  56. Singh, J., Mohanty, S. P., & Pradhan, D. K. (2012). Robust SRAM designs and analysis. Springer.

  57. Seevinck, E., List, F. J., & Lohstroh, J. (1987). Static-noise margin analysis of MOS SRAM cells. IEEE Journal of solid-state circuits, 22(5), 748.

    Article  Google Scholar 

  58. Takeda, K., Ikeda, H., Hagihara, Y., Nomura, M., & Kobatake, H. (2006). Redefinition of write margin for next-generation SRAM and write-margin monitoring circuit. In 2006 IEEE international solid state circuits conference-digest of technical Papers (pp. 2602–2611). IEEE.

  59. Gierczynski, N., Borot, B., Planes, N., & Brut, H. (2007). A new combined methodology for write-margin extraction of advanced SRAM. In 2007 IEEE international conference on microelectronic test structures (pp. 97–100). IEEE.

  60. Zhang, K., Bhattacharya, U., Chen, Z., Hamzaoglu, F., Murray, D., Vallepalli, N., et al. (2005). A 3-GHz 70-mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply. IEEE Journal of Solid-State Circuits, 41(1), 146.

    Article  Google Scholar 

  61. Wang, J., Nalam, S., & Calhoun, B. H. (2008). Analyzing static and dynamic write margin for nanometer SRAMs. In Proceeding of the 13th international symposium on Low power electronics and design (ISLPED’08) (pp. 129–134). IEEE.

  62. Dasgupta, S., et al. (2017). Compact analytical model to extract write static noise margin (WSNM) for SRAM cell at 45-nm and 65-nm nodes. IEEE Transactions on Semiconductor Manufacturing, 31(1), 136.

    Google Scholar 

  63. Prasad, G., Mandi, B. C., & Ali, M. (2021). Low power and write-enhancement RHBD 12T SRAM cell for aerospace applications. Analog Integrated Circuits and Signal Processing, 107, 1–12.

    Article  Google Scholar 

  64. Islam, A., & Hasan, M. (2012). Leakage characterization of 10T SRAM cell. IEEE Transactions on Electron Devices, 59(3), 631.

    Article  Google Scholar 

  65. Ibrahim, S. N. (2017). Effect of temperature on silicon carriers mobilities using matlab. Al-Mustansiriyah Journal of Science, 28(3), 214.

    Article  MathSciNet  Google Scholar 

  66. Qin, H., Cao, Y., Markovic, D., Vladimirescu, A., & Rabaey, J. (2005). Standby supply voltage minimization for deep sub-micron SRAM. Microelectronics Journal, 36(9), 789.

    Article  Google Scholar 

  67. Jiao, H., Qiu, Y., & Kursun, V. (2016). Low power and robust memory circuits with asymmetrical ground gating. Microelectronics Journal, 48, 109.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Ashish Sachdeva.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sachdeva, A., Tomar, V.K. A soft-error resilient low power static random access memory cell. Analog Integr Circ Sig Process 109, 187–211 (2021). https://doi.org/10.1007/s10470-021-01898-9

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-021-01898-9

Keywords

Navigation