Skip to main content
Log in

Critical heat flux limiting the effective cooling performance of two-phase cooling with an interlayer microchannel

  • Technical Paper
  • Published:
Microsystem Technologies Aims and scope Submit manuscript

Abstract

The cooling problem on integrated circuits (ICs) has emerged as the primary issue for higher performance of modern processors and three-dimensional ICs (3D ICs) in particular. Cooling systems with interlayer microchannels in 3D ICs have been widely studied as a promising cooling system for a high-performance processor. However, two-phase cooling systems for 3D ICs cooling have rarely been studied especially in interlayer microchannel structures. In this paper, we report a comparative study on cooling performance of single-phase cooling with water and two-phase cooling with R134a in an interlayer microchannel. The microfluidic and heat transfer problem was solved by using ANSYS Fluent 16.1. Contrary to the general view that two-phase cooling is better than single-phase cooling, we found that two-phase cooling with R134a is more efficient only in the heat flux of below 12 kW/m2 than single-phase cooling with water. The critical heat flux (CHF) was the main limitation of two-phase cooling to deal with a higher heat flux at a given mass flux.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12

Similar content being viewed by others

References

  • Abdoli A, Jimenez G, Dulikravich GS (2015) Thermo-fluid analysis of micro pin-fin array cooling configurations for high heat fluxes with a hot spot. Int J Therm Sci 90:290–297

    Article  Google Scholar 

  • Agostini B, Fabbri M, Park JE, Wojtan L, Thome JR, Michel B (2007) State of the art of high heat flux cooling technologies. Heat Transf Eng 28(4):258–281

    Article  Google Scholar 

  • Banerjee K, Souri SJ, Kapur P, Saraswat KC (2001) 3-D ICs: a novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration. Proc IEEE 89(5):602–633

    Article  Google Scholar 

  • Brackbill JU, Kothe DB, Zemach C (1992) A continuum method for modeling surface tension. J Comput Phys 100(2):335–354

    Article  MathSciNet  MATH  Google Scholar 

  • Brunschwiler T, Michel B, Rothuizen H, Kloter U, Wunderle B, Oppermann H, Reichl H (2009) Interlayer cooling potential in vertically integrated packages. Microsyst Technol 15(1):57–74

    Article  Google Scholar 

  • Dang B et al (2016) Integration and packaging of embedded radial micro-channels for 3D chip cooling. In: Proceedings of the 66th IEEE electronic components and technology conference (ECTC), pp 1271–1277

  • Deng Y, Liu J (2010) Design of practical liquid metal cooling device for heat dissipation of high performance CPUs. J Electron Packag 132(3):031009

    Article  Google Scholar 

  • Deng Y, Liu J (2013) Optimization and evaluation of a high-performance liquid metal CPU cooling product. IEEE Trans Compon Packag Manuf Technol 3(7):1171–1177

    Article  Google Scholar 

  • Deng D, Tang Y, Liang D, He H, Yang S (2014) Flow boiling characteristics in porous heat sink with reentrant microchannels. Int J Heat Mass Transf 70:463–477

    Article  Google Scholar 

  • Deng D, Wan W, Tang Y, Wan Z, Liang D (2015) Experimental investigations on flow boiling performance of reentrant and rectangular microchannels—a comparative study. Int J Heat Mass Transf 82:435–446

    Article  Google Scholar 

  • Fang C, David M, Rogacs A, Goodson K (2010) Volume of fluid simulation of boiling two-phase flow in a vapor-venting microchannel. Front Heat Mass Transf 1:013002

    Article  Google Scholar 

  • Harirchian T, Garimella SV (2009) Effects of channel dimension, heat flux, and mass flux on flow boiling regimes in microchannels. Int J Multiph Flow 35(4):349–362

    Article  Google Scholar 

  • Im S, Banerjee K (2000) Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs. In: International electron devices meeting (IEDM), technical digest, pp 727–730

  • Khanikar V, Mudawar I, Fisher T (2009) Effects of carbon nanotube coating on flow boiling in a micro-channel. Int J Heat Mass Transf 52:3805–3817

    Article  Google Scholar 

  • Koo J-M, Im S, Jiang L, Goodson KE (2005) Integrated microchannel cooling for three-dimensional electronic circuit architectures. J Heat Transf 127:49–58

    Article  Google Scholar 

  • Koşar A, Kuo C-J, Peles Y (2005) Boiling heat transfer in rectangular microchannels with reentrant cavities. Int J Heat Mass Transf 48(23):4867–4886

    Google Scholar 

  • Kuo C-J, Peles Y (2008) Flow boiling instabilities in microchannels and means for mitigation by reentrant cavities. J Heat Transf 130(7):072402

    Article  Google Scholar 

  • Lee WH (1980) A pressure iteration scheme for two-phase flow modeling. In: Veziroglu TN (ed) Multiphase transport fundamentals, reactor safety applications. Hemisphere Publishing, Washington DC

    Google Scholar 

  • Li Y, Xia G, Jia Y, Cheng Y, Wang J (2017) Experimental investigation of flow boiling performance in microchannels with and without triangular cavities—a comparative study. Int J Heat Mass Transf 108:1511–1526

    Article  Google Scholar 

  • Morshed AKMM, Yang F, Ali MY, Khan JA, Li C (2012) Enhanced flow boiling in a microchannel with integration of nanowires. Appl Therm Eng 32:68–75

    Article  Google Scholar 

  • Morshed AKMM, Paul TC, Khan J (2013) Effect of Cu–Al2O3 nanocomposite coating on flow boiling performance of a microchannel. Appl Therm Eng 51:1135–1143

    Article  Google Scholar 

  • Mudawar I (2001) Assessment of high-heat-flux thermal management schemes. IEEE Trans Compon Packag Technol 24(2):122–141

    Article  Google Scholar 

  • Naphon P, Wiriyasart S (2009) Liquid cooling in the mini-rectangular fin heat sink with and without thermoelectric for CPU. Int Commun Heat Mass Transf 36(2):166–171

    Article  Google Scholar 

  • Pal A, Joshi YK, Beitelmal MH, Patel CD, Wenger TM (2002) Design and performance evaluation of a compact thermosyphon. IEEE Trans Compon Packag Technol 25(4):601–607

    Article  Google Scholar 

  • Rahman A, Reif R (2000) System-level performance evaluation of three-dimensional integrated circuits. IEEE Trans Very Large Scale Integr Syst 8(6):671–678

    Article  Google Scholar 

  • Rahman A, Reif R (2001) Thermal analysis of three-dimensional (3-D) integrated circuits (ICs). In: Proceedings of the IEEE international interconnect technology conference, pp 157–159

  • Schultz M et al (2016) Embedded two-phase cooling of large three-dimensional compatible chips with radial channels. J Electron Packag 138(2):021005

    Article  Google Scholar 

  • Wang G, Cheng P (2008) An experimental study of flow boiling instability in a single microchannel. Int Commun Heat Mass Transf 35(10):1229–1234

    Article  Google Scholar 

  • Weng Y-C, Cho H-P, Chang C-C, Chen S-L (2011) Heat pipe with PCM for electronic cooling. Appl Energy 88(5):1825–1833

    Article  Google Scholar 

  • Yamashita K, Odanaka S (2000) Interconnect scaling scenario using a chip level interconnect model. IEEE Trans Electron Devices 47(1):90–96

    Article  Google Scholar 

  • Yang F et al (2015) Local measurements of flow boiling heat transfer on hot spots in 3D compatible radial microchannels. In: Proceedings of ASME InterPACK/ICNMM, San Francisco, CA, USA, pp V003T10A006-1–V003T10A006-7

  • Yang Z, Peng XF, Ye P (2008) Numerical and experimental investigation of two phase flow during boiling in a coiled tube. Int J Heat Mass Transf 51(5):1003–1016

    Article  MATH  Google Scholar 

  • Zhang Y, Bakir MS (2013) Independent interlayer microfluidic cooling for heterogeneous 3D IC applications. Electron Lett 49(6):404–406

    Article  Google Scholar 

  • Zhang Y, King CR, Zaveri J, Kim YJ, Sahu V, Joshi Y, Bakir MS (2011) Coupled electrical and thermal 3D IC centric microfluidic heat sink design and technology. In: Proceedings of the 61st IEEE electronic components and technology conference (ECTC), pp 2037–2044

  • Zhang Y, Dembla A, Joshi Y, Bakir MS (2012) 3D stacked microfluidic cooling for high-performance 3D ICs. In: Proceedings of the 62nd IEEE electronic components and technology conference, pp 1644–1650

  • Zhang Y, Dembla A, Bakir MS (2013) Silicon micropin-fin heat sink with integrated TSVs for 3-D ICs: tradeoff analysis and experimental testing. IEEE Trans Compon Packag Manuf Technol 3(11):1842–1850

    Article  Google Scholar 

Download references

Acknowledgements

This work was funded in part by National Science Foundation (Grant no. CCF-1422408). The authors also thank Mr. Chenguang Zhang for technical discussion and help in coding user defined functions. Simulation work of this research was conducted using high performance computing resources provided by the Center for Computation and Technology at Louisiana State University (http://www.hpc.lsu.edu).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Jin-Woo Choi.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Park, JY., Peng, L. & Choi, JW. Critical heat flux limiting the effective cooling performance of two-phase cooling with an interlayer microchannel. Microsyst Technol 25, 2831–2840 (2019). https://doi.org/10.1007/s00542-018-4270-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s00542-018-4270-y

Navigation