Skip to main content

Reconfigurable Architectures: The Shift from General Systems to Domain Specific Solutions

  • Chapter
  • First Online:
Emerging Computing: From Devices to Systems

Abstract

Reconfigurable computing is an expanding field that, during the last decades, has evolved from a relatively closed community, where hard skilled developers deployed high performance systems, based on their knowledge of the underlying physical system, to an attractive solution to both industry and academia. With this chapter, we explore the different lines of development in the field, namely the need of new tools to shorten the development time, the creation of heterogeneous platforms which couple hardware accelerators with general purpose processors, and the demand to move from general to specific solutions. Starting with the identification of the main limitations that have led to improvements in the field, we explore the emergence of a wide range of Computer Aided Design tools that allow the use of high level languages and guide the user in the whole process of system deployment. This opening to a wider public and their high performance with relatively low power consumption facilitate the spreading in data-centers, where, apart from the undeniable benefits, we have explored critical issues. We conclude with the latest trends in the field such as the use of hardware as a service and the shifting to Domain Specific Architectures based on reconfigurable fabrics.

Eleonora D’Arnese and Davide Conficconi are equally contributions.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • AlphaData, Alphadata reconfigurable computing for HPC boards (2020), https://www.alpha-data.com/dcp/

  • Altera, Implementing FPGA design with theopencl standard (2013), https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/wp/wp-01173-opencl.pdf

  • K. Asanovic, R. Avizienis, J. Bachrach, S. Beamer, D. Biancolin, C. Celio, H. Cook, D. Dabbelt, J. Hauser, A. Izraelevitz et al., The rocket chip generator. Technical Report (EECS Department, University of California, Berkeley, UCB/EECS-2016-17, 2016)

    Google Scholar 

  • J. Bachrach, H. Vo, B. Richards, Y. Lee, A. Waterman, R. Avižienis, J. Wawrzynek, K. Asanović, Chisel: constructing hardware in a scala embedded language, in DAC Design Automation Conference (IEEE, 2012), pp. 1212–1221

    Google Scholar 

  • R. Baghdadi, J. Ray, M.B. Romdhane, E. Del Sozzo, A. Akkas, Y. Zhang, P. Suriana, S. Kamil, S. Amarasinghe, Tiramisu: a polyhedral compiler for expressing fast and portable code, in IEEE/ACM International Symposium on Code Generation and Optimization (CGO) (IEEE, 2019), pp. 193–205

    Google Scholar 

  • F. Barat, R. Lauwereins, G. Deconinck, Reconfigurable instruction set processors from a hardware/software perspective. IEEE Trans. Softw. Eng. 28(9), 847–862 (2002)

    Article  Google Scholar 

  • P. BenĂ¡cek, V. Pu, H. KubĂ¡tovĂ¡, P4-to-VHDL: automatic generation of 100 gbps packet parsers, in IEEE 24th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) (IEEE, 2016), pp. 148–155

    Google Scholar 

  • P. Bosshart, G. Gibb, H.-S. Kim, G. Varghese, N. McKeown, M. Izzard, F. Mujica, M. Horowitz, Forwarding metamorphosis: fast programmable match-action processing in hardware for SDN. ACM SIGCOMM Comput. Commun. Rev. 43(4), 99–110 (2013)

    Article  Google Scholar 

  • P. Bosshart, D. Daly, G. Gibb, M. Izzard, N. McKeown, J. Rexford, C. Schlesinger, D. Talayco, A. Vahdat, G. Varghese et al., P4: programming protocol-independent packet processors. ACM SIGCOMM Comput. Commun. Rev. 44(3), 87–95 (2014)

    Article  Google Scholar 

  • A. Canis, J. Choi, M. Aldham, V. Zhang, A. Kammoona, J.H. Anderson, S. Brown, T. Czajkowski, Legup: high-level synthesis for FPGA-based processor/accelerator systems, in Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (2011), pp. 33–36

    Google Scholar 

  • A.M. Caulfield, E.S. Chung, A. Putnam, H. Angepat, J. Fowers, M. Haselman, S. Heil, M. Humphrey, P. Kaur, J.-Y. Kim, A cloud-scale acceleration architecture, in 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE, 2016), pp. 1–13

    Google Scholar 

  • CCIX, CCIX: a new coherent multichip interconnect for accelerated use cases (2017), https://www.ccixconsortium.com/wp-content/uploads/2018/08/ArmTechCon17-CCIX-A-New-Coherent-Multichip-Interconnect-for-Accelerated-Use-Cases.pdf

  • M. Champion, Bringing datacenter-scale hardware-software co-design to the cloud with FireSim and Amazon EC2 F1 instances, in AWS Compute Blog (2017)

    Google Scholar 

  • S. Chandrakar, D. Gaitonde, T. Bauer, Enhancements in ultrascale CLB architecture, in Proceedings of the 2015 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (2015), pp. 108–116

    Google Scholar 

  • A. Chattopadhyay, R. Leupers, H. Meyr, G. Ascheid, Language-Driven Exploration and Implementation of Partially Reconfigurable ASIPs (Springer Science & Business Media, 2008)

    Google Scholar 

  • F. Chen, Y. Shan, Y. Zhang, Y. Wang, H. Franke, X. Chang, K. Wang, Enabling FPGAs in the cloud, in Proceedings of the 11th ACM Conference on Computing Frontiers (2014), pp. 1–10

    Google Scholar 

  • S.A. Chin, N. Sakamoto, A. Rui, J. Zhao, J.H. Kim, Y. Hara-Azumi, J. Anderson, CGRA-ME: a unified framework for CGRA modelling and exploration, in 2017 IEEE 28th International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (IEEE, 2017), pp. 184–189

    Google Scholar 

  • J. Choi, S.D. Brown, J.H. Anderson, From pthreads to multicore hardware systems in legup high-level synthesis for FPGAs. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 25(10), 2867–2880 (2017)

    Google Scholar 

  • Y.-K. Choi, J. Cong, Z. Fang, Y. Hao, G. Reinman, P. Wei, A quantitative analysis on microarchitectures of modern CPU-FPGA platforms, in Proceedings of the 53rd Annual Design Automation Conference (2016), pp. 1–6

    Google Scholar 

  • Y.-K. Choi, J. Cong, Z. Fang, Y. Hao, G. Reinman, P. Wei, In-depth analysis on microarchitectures of modern heterogeneous CPU-FPGA platforms. ACM Trans. Reconfigurable Technol. Syst. (TRETS) 12(1), 1–20 (2019)

    Article  Google Scholar 

  • E. Chung, J. Fowers, K. Ovtcharov, M. Papamichael, A. Caulfield, T. Massengill, M. Liu, D. Lo, S. Alkalay, M. Haselman et al., Serving DNNs in real time at datacenter scale with project brainwave. IEEE Micro 38(2), 8–20 (2018)

    Article  Google Scholar 

  • K. Compton, S. Hauck, Reconfigurable computing: a survey of systems and software. ACM Comput. Surv. (CSUR) 34(2), 171–210 (2002)

    Article  Google Scholar 

  • J. Cong, B. Liu, S. Neuendorffer, J. Noguera, K. Vissers, Z. Zhang, High-level synthesis for FPGAs: From prototyping to deployment. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 30(4), 473–491 (2011)

    Google Scholar 

  • T.S. Czajkowski, U. Aydonat, D. Denisenko, J. Freeman, M. Kinsner, D. Neto, J. Wong, P. Yiannacouras, D.P. Singh, From opencl to high-performance hardware on FPGAs, in 22nd International Conference on Field Programmable Logic and Applications (FPL) (IEEE, 2012), pp. 531–534

    Google Scholar 

  • V. Dang, K. Skadron, Acceleration of frequent itemset mining on FPGA using SDAccel and Vivado HLS, in 2017 IEEE 28th International Conference on Application-Specific Systems, Architectures and Processors (ASAP) (IEEE, 2017), pp. 195–200

    Google Scholar 

  • A. De La Piedra, A. Braeken, A. Touhafi, Sensor systems based on FPGAs and their applications: a survey. Sensors 12(9), 12,235–12264 (2012)

    Google Scholar 

  • A. DeHon, The density advantage of configurable computing. Computer 33(4), 41–49 (2000)

    Article  Google Scholar 

  • E. Del Sozzo, R. Baghdadi, S. Amarasinghe, M.D. Santambrogio, A common backend for hardware acceleration on FPGA, in 2017 IEEE International Conference on Computer Design (ICCD) (IEEE, 2017), pp. 427–430

    Google Scholar 

  • J.B. Dennis, Data flow supercomputers. Computer 11, 48–56 (1980)

    Article  Google Scholar 

  • L. Di Tucci, M. Rabozzi, L. Stornaiuolo, M.D. Santambrogio, The role of CAD frameworks in heterogeneous FPGA-based cloud systems, in IEEE International Conference on Computer Design (ICCD) (IEEE, 2017), pp. 423–426

    Google Scholar 

  • H. Esmaeilzadeh, E. Blem, R.S. Amant, K. Sankaralingam, D. Burger, Dark silicon and the end of multicore scaling, in 38th Annual International Symposium on Computer Architecture (ISCA) (IEEE, 2011), pp. 365–376

    Google Scholar 

  • J. Fang, Y.T. Mulder, J. Hidders, J. Lee, H.P. Hofstee, In-memory database acceleration on FPGAs: a survey. VLDB J. 29(1), 33–59 (2020)

    Article  Google Scholar 

  • T. Feist, Vivado design suite. White Paper 5, 30 (2012)

    Google Scholar 

  • F. Fricke, A. Werner, K. Shahin, M. HĂ¼bner, CGRA tool flow for fast run-time reconfiguration, in International Symposium on Applied Reconfigurable Computing (Springer, 2018), pp. 661–672

    Google Scholar 

  • B. Gaide, D. Gaitonde, C. Ravishankar, T. Bauer, Xilinx adaptive compute acceleration platform: versaltm architecture, in Proceedings of the 2019 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays (2019), pp. 84–93

    Google Scholar 

  • Google, Experiences building edge TPU with chisel (2018), https://www.youtube.com/watch?v=x85342Cny8c

  • D. Grant, C. Wang, G.G. Lemieux, A CAD framework for Malibu: an FPGA with time-multiplexed coarse-grained elements, in Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays (2011), pp. 123–132

    Google Scholar 

  • Y. Guan, H. Liang, N. Xu, W. Wang, S. Shi, X. Chen, G. Sun, W. Zhang, J. Cong, FP-DNN: An automated framework for mapping deep neural networks onto FPGAs with RTL-HLS hybrid templates, in IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) (IEEE, 2017), pp. 152–159

    Google Scholar 

  • Z. Guo, W. Najjar, F. Vahid, K. Vissers, A quantitative analysis of the speedup factors of FPGAs over processors, in Proceedings of the 2004 ACM/SIGDA 12th International Symposium on Field Programmable Gate Arrays (2004), pp. 162–170

    Google Scholar 

  • K. Guo, L. Sui, J. Qiu, S. Yao, S. Han, Y. Wang, H. Yang, From model to FPGA: Software-hardware co-design for efficient neural network acceleration, in IEEE Hot Chips 28 Symposium (HCS) (IEEE, 2016), pp. 1–27

    Google Scholar 

  • K. Guo, S. Zeng, J. Yu, Y. Wang, H. Yang, [DL] A survey of FPGA-based neural network inference accelerators. ACM Trans. Reconfigurable Technol. Syst. (TRETS) 12(1), 1–26 (2019)

    Article  Google Scholar 

  • P. Gupta, Accelerating datacenter workloads, in 26th International Conference on Field Programmable Logic and Applications (FPL), vol. 2017 (2016), p. 20

    Google Scholar 

  • J. Hegarty, J. Brunhaver, Z. DeVito, J. Ragan-Kelley, N. Cohen, S. Bell, A. Vasilyev, M. Horowitz, P. Hanrahan, Darkroom: compiling high-level image processing code into hardware pipelines. ACM Trans. Graph. 33(4), 144–1 (2014)

    Article  Google Scholar 

  • J.L. Hennessy, D.A. Patterson, A new golden age for computer architecture. Commun. ACM 62(2), 48–60 (2019)

    Article  Google Scholar 

  • M. Hosseinabady, J.L. NĂºĂ±ez-YĂ¡Ă±ez, Pipelined streaming computation of histogram in FPGA OpenCL, in PARCO (2017), pp. 632–641

    Google Scholar 

  • Huawei, Huawei releases the new-generation intelligent cloud hardware platform Atlas (2017), https://www.huawei.com/us/news/global/2017/201709061557

  • IceStorm, Project IceStorm website (2015), http://www.clifford.at/icestorm/

  • Intel, Intel HLS documentation (2020b), https://www.intel.com/content/www/us/en/programmable/products/design-software/high-level-design/intel-hls-compiler/support.html

  • Intel, Intel quartus documentation (2020a), https://www.intel.com/content/www/us/en/programmable/products/design-software/fpga-design/quartus-prime/user-guides.html

  • C. Kachris, D. Soudris, A survey on reconfigurable accelerators for cloud computing, in 26th International Conference on Field Programmable Logic and Applications (FPL) (IEEE, 2016), pp. 1–10

    Google Scholar 

  • S. Karandikar, H. Mao, D. Kim, D. Biancolin, A. Amid, D. Lee, N. Pemberton, E. Amaro, C. Schmidt, A. Chopra, Firesim: FPGA-accelerated cycle-exact scale-out system simulation in the public cloud, in ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA) (IEEE, 2018), pp. 29–42

    Google Scholar 

  • R.M. Karp, R.E. Miller, S. Winograd, The organization of computations for uniform recurrence equations. J. ACM (JACM) 14(3), 563–590 (1967)

    Article  MathSciNet  Google Scholar 

  • D. Koeplinger, R. Prabhakar, Y. Zhang, C. Delimitrou, C. Kozyrakis, K. Olukotun, Automatic generation of efficient accelerators for reconfigurable hardware, in ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA) (IEEE, 2016), pp. 115–127

    Google Scholar 

  • I. Kuon, J. Rose, Measuring the gap between FPGAs and ASICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(2), 203–215 (2007)

    Google Scholar 

  • I. Kuon, R. Tessier, J. Rose et al., FPGA architecture: survey and challenges, foundations and trends\(^{\text{\textregistered} }\). Electron. Des. Autom. 2(2), 135–253 (2008)

    Google Scholar 

  • C. Lavin, A. Kaviani, Rapidwright: enabling custom crafted implementations for FPGAs, in IEEE 26th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) (IEEE, 2018), pp. 133–140

    Google Scholar 

  • C. Lavin, M. Padilla, J. Lamprecht, P. Lundrigan, B. Nelson, B. Hutchings, RapidSmith: do-it-yourself CAD tools for Xilinx FPGAs, in 2011 21st International Conference on Field Programmable Logic and Applications (IEEE, 2011), pp. 349–355

    Google Scholar 

  • D.U. Lee, K.W. Kim, K.W. Kim, K.S. Lee, S.J. Byeon, J.H. Kim, J.H. Cho, J. Lee, J.H. Chun, A 1.2 v 8 gb 8-channel 128 gb/s high-bandwidth memory (HBM) stacked dram with effective I/O test circuits. IEEE J. Solid-State Circuits 50(1), 191–203 (2014)

    Google Scholar 

  • Y. Lee, A. Waterman, H. Cook, B. Zimmer, B. Keller, A. Puggelli, J. Kwak, R. Jevtic, S. Bailey, M. Blagojevic et al., An agile approach to building RISC-V microprocessors. IEEE Micro 36(2), 8–20 (2016)

    Article  Google Scholar 

  • L. Liu, J. Zhu, Z. Li, Y. Lu, Y. Deng, J. Han, S. Yin, S. Wei, A survey of coarse-grained reconfigurable architecture and design: taxonomy, challenges, and applications. ACM Comput. Surv. (CSUR) 52(6), 1–39 (2019)

    Article  Google Scholar 

  • S. Margerm, A. Sharifian, A. Guha, A. Shriraman, G. Pokam, TAPAS: generating parallel accelerators from parallel programs, in 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE, 2018), pp. 245–257

    Google Scholar 

  • Maxeler, Maxcompiler white paper (2011), https://www.maxeler.com/media/documents/MaxelerWhitePaperMaxCompiler.pdf

  • N. McKeown, T. Anderson, H. Balakrishnan, G. Parulkar, L. Peterson, J. Rexford, S. Shenker, J. Turner, Openflow: enabling innovation in campus networks. ACM SIGCOMM Comput. Commun. Rev. 38(2), 69–74 (2008)

    Article  Google Scholar 

  • MSR, Honeycomb (2020), https://www.microsoft.com/en-us/research/project/honeycomb/

  • R. Nane, V.-M. Sima, B. Olivier, R. Meeuws, Y. Yankova, K. Bertels, DWARV 2.0: a CoSy-based C-to-VHDL hardware compiler, in 22nd International Conference on Field Programmable Logic and Applications (FPL) (IEEE, 2012), pp. 619–622

    Google Scholar 

  • R. Nane, V.-M. Sima, C. Pilato, J. Choi, B. Fort, A. Canis, Y.T. Chen, H. Hsiao, S. Brown, F. Ferrandi et al., A survey and evaluation of fpga high-level synthesis tools. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(10), 1591–1604 (2015)

    Google Scholar 

  • G. Natale, G. Stramondo, P. Bressana, R. Cattaneo, D. Sciuto, M.D. Santambrogio, A polyhedral model-based framework for dataflow implementation on FPGA devices of iterative stencil loops, in 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD) (IEEE, 2016), pp. 1–8

    Google Scholar 

  • G.S. Niemiec, L.M. Batista, A.E. Schaeffer-Filho, G.L. Nazar, A survey on FPGA support for the feasible execution of virtualized network functions (IEEE Commun. Surv, Tutorials, 2019)

    Google Scholar 

  • T. Nowatzki, V. Gangadhar, N. Ardalani, K. Sankaralingam, Stream-dataflow acceleration, in ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA) (IEEE, 2017), pp. 416–429

    Google Scholar 

  • C. NVIDIA, Compute unified device architecture programming guide, in Nvidia website (2007)

    Google Scholar 

  • N. Oliver, R.R. Sharma, S. Chang, B. Chitlur, E. Garcia, J. Grecco, A. Grier, N. Ijih, Y. Liu, P. Marolia et al., A reconfigurable computing system based on a cache-coherent fabric, in 2011 International Conference on Reconfigurable Computing and FPGAs (IEEE, 2011), pp. 80–85

    Google Scholar 

  • OpenCAPI Consortium, Tech leaders unite to enable new cloud datacenter server designs for big data, machine learning, analytics, and other emerging workloads (2016), https://opencapi.org/2016/10/tech-leaders-unite-to-enable-new-cloud-datacenter-server-designs-for-big-data-machine-learning-analytics-and-other-emerging-workloads/, October 2016

  • OpenCAPI, Opencapi a data-centric approach to server design (2016), https://opencapi.org/wp-content/uploads/2016/09/OpenCAPI-Exhibit-SC17.pdf

  • J. Ouyang, S. Lin, W. Qi, Y. Wang, B. Yu, S. Jiang, SDA: software-defined accelerator for large-scale DNN systems, in IEEE Hot Chips 26 Symposium (HCS) (IEEE, 2014), pp. 1–23

    Google Scholar 

  • D. Pellerin, FPGA accelerated computing using AWS F1 instances (AWS Public Sector Summit, 2017)

    Google Scholar 

  • C. Pilato, F. Ferrandi, Bambu: a modular framework for the high level synthesis of memory-intensive applications, in 2013 23rd International Conference on Field programmable Logic and Applications (IEEE, 2013), pp. 1–4

    Google Scholar 

  • K. Pocek, R. Tessier, A. DeHon, Birth and adolescence of reconfigurable computing: a survey of the first 20 years of field-programmable custom computing machines, in IEEE 21st Annual International Symposium on Field-Programmable Custom Computing Machines (IEEE, 2013), pp. 1–17

    Google Scholar 

  • S. Pontarelli, R. Bifulco, M. Bonola, C. Cascone, M. Spaziani, V. Bruschi, D. Sanvito, G. Siracusano, A. Capone, M. Honda, F. Huici, G. Siracusano, Flowblaze: stateful packet processing in hardware, in 16th USENIX Symposium on Networked Systems Design and Implementation (NSDI 19), USENIX Association, Boston, MA, Feb. 2019, pp. 531–548, https://www.usenix.org/conference/nsdi19/presentation/pontarelli

  • L.-N. Pouchet, P. Zhang, P. Sadayappan, J. Cong, Polyhedral-based data reuse optimization for configurable computing, in Proceedings of the ACM/SIGDA International Symposium on Field Programmable Gate Arrays (2013), pp. 29–38

    Google Scholar 

  • R. Prabhakar, Y. Zhang, D. Koeplinger, M. Feldman, T. Zhao, S. Hadjis, A. Pedram, C. Kozyrakis, K. Olukotun, Plasticine: a reconfigurable architecture for parallel patterns, in ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA) (IEEE, 2017), pp. 389–402

    Google Scholar 

  • Project X-Ray, Project X-ray repository (2017), https://github.com/SymbiFlow/prjxray

  • J. Pu, S. Bell, X. Yang, J. Setter, S. Richardson, J. Ragan-Kelley, M. Horowitz, Programming heterogeneous systems from an image processing DSL. ACM Trans. Archit. Code Optim. (TACO) 14(3), 1–25 (2017)

    Article  Google Scholar 

  • A. Putnam, A.M. Caulfield, E.S. Chung, D. Chiou, K. Constantinides, J. Demme, H. Esmaeilzadeh, J. Fowers, G.P. Gopal, J. Gray, A reconfigurable fabric for accelerating large-scale datacenter services, in ACM/IEEE 41st International Symposium on Computer Architecture (ISCA) (IEEE, 2014), pp. 13–24

    Google Scholar 

  • M. Rabozzi, R. Brondolin, G. Natale, E. Del Sozzo, M. Huebner, A. Brokalakis, C. Ciobanu, D. Stroobandt, M.D. Santambrogio, A CAD open platform for high performance reconfigurable systems in the extra project, in IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (IEEE, 2017, pp. 368–373

    Google Scholar 

  • M. Rabozzi, G. Natale, E. Del Sozzo, A. Scolari, L. Stornaiuolo, M.D. Santambrogio, Heterogeneous exascale supercomputing: the role of CAD in the exaFPGA project, in Design, Automation & Test in Europe Conference and Exhibition (DATE) (IEEE, 2017), pp. 410–415

    Google Scholar 

  • J. Ragan-Kelley, C. Barnes, A. Adams, S. Paris, F. Durand, S. Amarasinghe, Halide: a language and compiler for optimizing parallelism, locality, and recomputation in image processing pipelines. ACM Sigplan Notices 48(6), 519–530 (2013)

    Article  Google Scholar 

  • J.M. Ray, A unified compiler backend for distributed, cooperative heterogeneous execution. Ph.D. Dissertation (Massachusetts Institute of Technology, 2018)

    Google Scholar 

  • M. Schmid, F. Hannig, R. Tanase, J. Teich, High-level synthesis revised: generation of FPGA accelerators from a domain-specific language using the polyhedron model (2013)

    Google Scholar 

  • S.O. Settle et al., High-performance dynamic programming on FPGAs with OpenCL, in Proceedings of the IEEE High Performance Extreme Computing Conference (HPEC) (2013), pp. 1–6

    Google Scholar 

  • D. Shah, E. Hung, C. Wolf, S. Bazanski, D. Gisselquist, M. Milanovic, Yosys+ nextpnr: an open source framework from verilog to bitstream for commercial FPGAs, in IEEE 27th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM) (IEEE, 2019), pp. 1–4

    Google Scholar 

  • L. Shannon, V. Cojocaru, C.N. Dao, P.H. Leong, Technology scaling in FPGAs: trends in applications and architectures, in IEEE 23rd Annual International Symposium on Field-Programmable Custom Computing Machines (IEEE, 2015), pp. 1–8

    Google Scholar 

  • D. Singh, Implementing FPGA design with the opencl standard. Altera White Paper 1 (2011)

    Google Scholar 

  • Stanford’s Agile Hardware Center, Creating an agile hardware flow, in 2019 IEEE Hot Chips 31 Symposium (HCS) (2019)

    Google Scholar 

  • Symbiflow, Symbiflow project website (2018), https://symbiflow.github.io/

  • R. Tessier, K. Pocek, A. DeHon, Reconfigurable computing architectures. Proc. IEEE 103(3), 332–354 (2015)

    Article  Google Scholar 

  • S.M.S. Trimberger, Three ages of FPGAs: a retrospective on the first thirty years of fpga technology: this paper reflects on how Moore’s law has driven the design of FPGAs through three epochs: the age of invention, the age of expansion, and the age of accumulation. IEEE Solid-State Circuits Mag. 10(2), 16–29 (2018)

    Article  Google Scholar 

  • Y. Umuroglu, N.J. Fraser, G. Gambardella, M. Blott, P. Leong, M. Jahre, K. Vissers, FINN: a framework for fast, scalable binarized neural network inference, in Proceedings of the 2017 ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, Ser. FPGA’17 (ACM, 2017), pp. 65–74

    Google Scholar 

  • S.I. Venieris, A. Kouris, C.-S. Bouganis, Toolflows for mapping convolutional neural networks on FPGAs: a survey and future directions (2018), arXiv:1803.05900

  • K. Vipin, S.A. Fahmy, FPGA dynamic and partial reconfiguration: a survey of architectures, methods, and applications. ACM Comput. Surv. (CSUR) 51(4), 1–39 (2018)

    Article  Google Scholar 

  • H. Wang, R. SoulĂ©, H.T. Dang, K.S. Lee, V. Shrivastav, N. Foster, H. Weatherspoon, P4FPGA: a rapid prototyping framework for p4, in Proceedings of the Symposium on SDN Research (2017), pp. 122–135

    Google Scholar 

  • A. Waterman, Y. Lee, D.A. Patterson, K. Asanovic, The RISC-V instruction set manual, volume I: Base user-level ISA, vol. 116. Technical Report (EECS Department, UC Berkeley, UCB/EECS-2011-62, 2011)

    Google Scholar 

  • B. Wile, Coherent accelerator processor interface (CAPI) for power8 systems white paper, in IBM Systems and Technology Group (2014)

    Google Scholar 

  • L. Wirbel, Xilinx SDAccel: a unified development environment for tomorrow’s data center (The Linley Group Inc., 2014)

    Google Scholar 

  • Xilinx, Pynq: Python for productivity for Zynq (2016), http://www.pynq.io/

  • Xilinx, Sdaccel Press Release (2014), https://www.xilinx.com/news/press/2014/xilinx-announces-sdaccel-development-environment-for-opencl-c-and-c-delivering-up-to-25x-better-performance-watt-to-the-data-center.html

  • Xilinx, Xilinx vitis unified software platform (2019), https://www.xilinx.com/products/design-tools/vitis/vitis-platform.html, October 2019

  • Xilinx, Zynq SoC family (2016), https://www.xilinx.com/products/silicon-devices/soc/zynq-7000.html

  • D. Ziakas, A. Baum, R.A. Maddox, R.J. Safranek, Intel\(^{\text{\textregistered} }\) quickpath interconnect architectural features supporting scalable system architectures, in 18th IEEE Symposium on High Performance Interconnects (IEEE, 2010), pp. 1–6

    Google Scholar 

  • W. Zuo, P. Li, D. Chen, L.-N. Pouchet, S. Zhong, J. Cong, Improving polyhedral code generation for high-level synthesis, in 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES + ISSS) (IEEE, 2013), pp. 1–10

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Donatella Sciuto .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 Springer Nature Singapore Pte Ltd.

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

D’Arnese, E., Conficconi, D., Santambrogio, M.D., Sciuto, D. (2023). Reconfigurable Architectures: The Shift from General Systems to Domain Specific Solutions. In: Aly, M.M.S., Chattopadhyay, A. (eds) Emerging Computing: From Devices to Systems. Computer Architecture and Design Methodologies. Springer, Singapore. https://doi.org/10.1007/978-981-16-7487-7_14

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-7487-7_14

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-7486-0

  • Online ISBN: 978-981-16-7487-7

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics