Skip to main content

Abstract

In this paper, a FPGA (Field Programmable Gate Array) based architecture for an area efficient asynchronous FIFO (First-In-First-Out) has been presented. There are various technologies, and algorithms are used for data transfer from one domain to another. First-In-First-Out method is the simplest of all. FIFO requires multiple asynchronous clocks to access the data. Asynchronous FIFO is important for safe data transfer. Data moves from one clock domain to another clock domain where both the domain frequencies are different. The calculation of memory depth has been presented based on read and write clock frequency; so that the FIFO works perfectly for specified frequency without data loss. In this proposed model of asynchronous FIFO, an area efficient FIFO architecture has been demonstrated, and this paper also provides the results obtained through VHDL (Very high-speed integrated circuit Hardware Description Language) simulation and FPGA implementation to demonstrate the reliability of the proposed model.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Cummings, C., Sunburst Design, Inc.: Simulation and Synthesis Techniques for Asynchronous FIFO Design, SUNG (Synopsys Users Group Conference, San Jose, CA, 2002), A second FIFO paper by the same author was voted “Best Paper -1st Place”

    Google Scholar 

  2. Yong, X., Runde, Z.: Low latency high throughout circular asynchronous FIFO, pp. 812–816. IEEE Institute of Microelectronics, Tsinghua University, 2008

    Google Scholar 

  3. Han, H., Stevens, K.S., IEEE: Clocked and Asynchronous FIFO Characterization and Comparison, 2009

    Google Scholar 

  4. Kumar, A., Shankar, N.S.: Verification of asynchronous FIFO using system Verilog. Int. J. Comput. Appl. 86(11), 06–20 (2014)

    Google Scholar 

  5. Kim, H.-K., Wang, L.-T., Liang, Y., Jone, W.-B.: Testing of synchronous and asynchronous FIFO. J. Electron. Test. 29(1), 49–72 (2013)

    Google Scholar 

  6. Mahesh, U., Long, M., Simmons, L.: Quad Asynchronous Communication Element (ACE) with FIFO. IEEE, 1991

    Google Scholar 

  7. Thenappan, S.: Asynchronous FIFO design using Verilog. Int. Res. J. Eng. Technol. (2008)

    Google Scholar 

  8. Abdelhadi, A.M.S.: Synthesizable synchronization FIFOs utilizing the asynchronous pulse-based handshake protocol. In: 2020 IEEE Nordic Circuits and Systems Conference (NorCAS), Oslo, Norway, 2020, pp. 1–7.https://doi.org/10.1109/NorCAS51424.2020.9265139

  9. Abdel-Hafeez, S., Quwaider, M.Q.: A one-cycle asynchronous FIFO queue buffer circuit. In: 2020 11th International Conference on Information and Communication Systems (ICICS), Irbid, Jordan, 2020, pp. 388–393. https://doi.org/10.1109/ICICS49469.2020.239548

  10. Liu, G., Garside, J., Furber, S., Plana, L.A., Koch, D.: Asynchronous interface FIFO design on FPGA for high-throughput NRZ synchronisation. In: 2017 27th International Conference on Field Programmable Logic and Applications (FPL), Ghent, Belgium, 2017, pp. 1–8. https://doi.org/10.23919/FPL.2017.8056801.

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Das, S., Basu, U., Das, R., Saha, S., Basu, A. (2022). FPGA Implementation of Asynchronous FIFO. In: Bhaumik, S., Chattopadhyay, S., Chattopadhyay, T., Bhattacharya, S. (eds) Proceedings of International Conference on Industrial Instrumentation and Control. Lecture Notes in Electrical Engineering, vol 815. Springer, Singapore. https://doi.org/10.1007/978-981-16-7011-4_39

Download citation

  • DOI: https://doi.org/10.1007/978-981-16-7011-4_39

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-16-7010-7

  • Online ISBN: 978-981-16-7011-4

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics