Skip to main content

WHMS: An Efficient Wireless NoC Design for Better Communication Efficiency

  • Conference paper
  • First Online:
Computational Intelligence in Pattern Recognition

Abstract

Wireless network-on-chip (WNoC) has succeeded in dealing with alleviating the performance limitations of traditional wired-based networks-on-chip (NoC). In return of this, WNoC launches the opening of a modern network-on-chip (NoC) technique to higher scalability and architectural extensibility. Broadcast support, low latency, and system-level clearness make wireless on-chip communication a significant alternative method in network-on-chip design. In this work, a hybrid NoC, the combination of wired and wireless-based network-on-chip called wireless hybrid mesh of star (WHMS) is designed with a suitable topology and routing algorithm. Its performance in terms of throughput, latency, and energy at different workload has been explored using synthetic traffic pattern. Experimental results indicate a 67.64% improvement in latency and a 71.08% gain in throughput with 54.91% energy savings.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Abadal, S., Mestres, A., Nemirovsky, M., Lee, H., González, A., Alarcón, E., Cabellos-Aparicio, A.: Scalability of broadcast performance in wireless network-on-chip. IEEE Transa. Parallel Distrib. Syst. 27(12), 3631–3645 (2016)

    Article  Google Scholar 

  2. Bahrami, B., Jamali, M.A.J., Saeidi, S.: Proposing an optimal structure for the architecture of wireless networks on chip. Telecommun. Syst. 62(1), 199–214 (2016)

    Article  Google Scholar 

  3. Bjerregaard, T., Mahadevan, S.: A survey of research and practices of network-on-chip. ACM Comput. Surv. (CSUR) 38(1), 1 (2006)

    Article  Google Scholar 

  4. Bose, A., Ghosal, P., Mohanty, S.P.: A low latency scalable 3D NoC using BFT topology with table based uniform routing. In: 2014 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 136–141. IEEE (2014)

    Google Scholar 

  5. Carloni, L.P., Pande, P., Xie, Y.: Networks-on-chip in emerging interconnect paradigms: advantages and challenges. In: Proceedings of the 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip. pp. 93–102. IEEE Computer Society (2009)

    Google Scholar 

  6. Catania, V., Mineo, A., Monteleone, S., Palesi, M., Patti, D.: Cycle-accurate network on chip simulation with noxim. ACM Trans. Model. Comput. Simul. (TOMACS) 27(1), 4 (2016)

    Article  Google Scholar 

  7. Cordan, B.: An efficient bus architecture for system-on-chip design. In: Proceedings of the IEEE 1999 Custom Integrated Circuits, pp. 623–626. IEEE (1999)

    Google Scholar 

  8. Deb, S., Sah, S.P., Cosic, M., Chang, K., Yu, X., Heo, D., Ganguly, A., Belzer, B., Pande, P.P.: Design of an energy efficient cmos compatible noc architecture with millimeter-wave wireless interconnects. IEEE Trans. Comput. 99(1), 1 (2012)

    Google Scholar 

  9. El-Rewini, H., Abd-El-Barr, M.: Advanced Computer Architecture and Parallel Processing, vol. 42. Wiley (2005)

    Google Scholar 

  10. Floyd, B.A., Hung, C.M., et al.: Intra-chip wireless interconnect for clock distribution implemented with integrated antennas, receivers, and transmitters. IEEE J. Solid-State Circ. 37(5), 543–552 (2002)

    Article  Google Scholar 

  11. Ganguly, A., Chang, K., Deb, S., Pande, P.P., Belzer, B., Teuscher, C.: Scalable hybrid wireless network-on-chip architectures for multicore systems. IEEE Trans. Comput. 60(10), 1485–1502 (2011)

    Article  MathSciNet  Google Scholar 

  12. Ghosal, P., Das, T.S., Poddar, S., Rahaman, M.M., Bose, A.: 3d NoC: a promising alternative for tomorrow’s nanosystem design. In: Nano-CMOS and Post-CMOS Electronics: Circuits and Design, Chapter 11, pp. 337–377. Institution of Engineering and Technology (2016)

    Google Scholar 

  13. Hu, W.H., Wang, C., Bagherzadeh, N.: Design and analysis of a mesh-based wireless network-on-chip. J. Supercomput. 71(8), 2830–2846 (2015)

    Article  Google Scholar 

  14. Jamali, M.A.J., Khademzadeh, A.: Minroot and cmesh: interconnection architectures for network-on-chip systems. World Acad. Sci. Eng. Technol. 54, 354–359 (2009)

    Google Scholar 

  15. Lee, S.B., Tam, S.W., Pefkianakis, I., Lu, S., Chang, M.F., Guo, C., Reinman, G., Peng, C., Naik, M., Zhang, L., et al.: A scalable micro wireless interconnect structure for CMPS. In: Proceedings of the 15th Annual International Conference on Mobile Computing and Networking. pp. 217–228. ACM (2009)

    Google Scholar 

  16. Lin, J.J., Wu, H.T., Su, Y., Gao, L., Sugavanam, A., Brewer, J.E., et al.: Communication using antennas fabricated in silicon integrated circuits. IEEE J. Solid-State Circ. 42(8), 1678–1687 (2007)

    Article  Google Scholar 

  17. Mamaghani, S.M., Jamali, M.A.J.: An adaptive congestion-aware routing algorithm based on network load for wireless routers in wireless network-on-chip. AEU-Int. J. Electron. Commun. 97, 25–37 (2018)

    Article  Google Scholar 

  18. Mansoor, N., Iruthayaraj, P.J.S., Ganguly, A.: Design methodology for a robust and energy-efficient millimeter-wave wireless network-on-chip. IEEE Trans. Multi-Scale Comput. Syst. 1(1), 33–45 (2015)

    Article  Google Scholar 

  19. Murray, J., Wettin, P., Pande, P.P., Shirazi, B.: Performance evaluation and design trade-offs of wireless SWNoCs. In: Sustainable Wireless Network-on-Chip Architectures, Chapter 6, pp. 57–78. Morgan Kaufmann (2016)

    Google Scholar 

  20. Rahaman, M.M., Ghosal, P., Biswas, S.: A scalable hierarchical ring based wireless network-on-chip. In: 2016 International Conference on Information Technology (ICIT), pp. 154–159. IEEE (2016)

    Google Scholar 

  21. Rahaman, M.M., Ghosal, P., Das, T.S.: Latency, throughput and power aware adaptive noc routing on orthogonal convex faulty region. J. Circ. Syst. Comput. 28(04), 1950055 (2019)

    Article  Google Scholar 

  22. Razavi, B.: Design of millimeter-wave CMOS radios: A tutorial. IEEE Trans. Circ. Syst. I: Reg. Pap. 56(1), 4–16 (2009)

    MathSciNet  Google Scholar 

  23. Saxena, S., Manur, D.S., Shamim, M.S., Ganguly, A.: A folded wireless network-on-chip using graphene based thz-band antennas. In: Proceedings of the 4th ACM International Conference on Nanoscale Computing and Communication. p. 29. ACM (2017)

    Google Scholar 

  24. Shacham, A., Bergman, K., Carloni, L.P.: Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57(9), 1246–1260 (2008)

    Article  MathSciNet  Google Scholar 

  25. Song, Z., Ma, G., Song, D.: Hierarchical star: An optimal noc topology for high-performance SoC design. In: International Multisymposiums on Computer and Computational Sciences, IMSCCS’08. pp. 158–163. IEEE (2008)

    Google Scholar 

  26. Tomassini, M., Giacobini, M., Darabos, C., et al.: Evolution and dynamics of small-world cellular automata. Complex Syst. 15(4), 261–284 (2005)

    MathSciNet  MATH  Google Scholar 

  27. Yu, X., Sah, S.P., Deb, S., Pande, P.P., Belzer, B., Heo, D.: A wideband body-enabled millimeter-wave transceiver for wireless network-on-chip. In: 2011 IEEE 54th International Midwest Symposium on Circuits and Systems (MWSCAS), pp. 1–4. IEEE (2011)

    Google Scholar 

  28. Zhao, D., Wang, Y.: SD-MAC: Design and synthesis of a hardware-efficient collision-free qos-aware mac protocol for wireless network-on-chip. IEEE Trans. Comput. 57(9), 1230–1245 (2008)

    Article  MathSciNet  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Munshi Mostafijur Rahaman .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Rahaman, M.M., Ghosal, P. (2020). WHMS: An Efficient Wireless NoC Design for Better Communication Efficiency. In: Das, A., Nayak, J., Naik, B., Dutta, S., Pelusi, D. (eds) Computational Intelligence in Pattern Recognition. Advances in Intelligent Systems and Computing, vol 1120. Springer, Singapore. https://doi.org/10.1007/978-981-15-2449-3_28

Download citation

Publish with us

Policies and ethics