Skip to main content

N-bit Pipelined CSM Based Square Root Circuit for Binary Numbers

  • Conference paper
  • First Online:
Progress in Advanced Computing and Intelligent Engineering

Part of the book series: Advances in Intelligent Systems and Computing ((AISC,volume 564))

  • 1106 Accesses

Abstract

Uniqueness is the key to go forward and maintain a spirited advantage in high-end research. By means of interdisciplinary research from the theory of very large-scale integration to signal processing prospective, it provides a systematic approach to the design and analysis of various circuits that are mainly intended for a variety of VLSI signal processing applications. This work presents an efficient design and implementation of n-bit pipelined square root circuit. An idea of a square root circuit with using a controlled subtract-multiplex (CSM) block is introduced here. In this paper, we have implemented a popular algorithm called non-restoring algorithm for the square root operation of circuits. Anticipated to meet the various design of higher order circuits to use in a range of mathematical operations, this paper provides full exposure to the researchers in the field of VLSI design. Overall, it explores the key themes of designing the square root circuit, its simulation and debugging in Xilinx ISE 14.1 as well as its FPGA implementation

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Institutional subscriptions

References

  1. Sultana, S., Radecka, K.: Reversible implementation of square-root circuit. In: 18th IEEE international conference on electronic circuits and systems, pp. 141–144. IEEE Press, Canada (2011)

    Google Scholar 

  2. Senthilpari, B.C., Kavitha, S.: Proposed low power, high speed, adder-based, 65 nm square root circuit. J. Microelectron. 42, 445–451, Elsevier Science, (2011)

    Google Scholar 

  3. Li, Y., Chu, W.: A new non-restoring square root algorithm and its VLSI implementation. In: IEEE International Conference on computer design, pp. 539–544, Texas, USA (1996)

    Google Scholar 

  4. Samavi, S., Sadrabadi, A., Fanian, A.: Modular array structure of non-restoring square root circuit. J. Syst. Architect. 54, 957–966. Elsevier Science (2008)

    Google Scholar 

  5. Li, Y., Chu, W.: Implementation of single precision floating point square root on FPGAs. In: 5th IEEE symposium on FPGA for custom computing machines, pp. 226–232. California, USA (1997)

    Google Scholar 

  6. O’Leary, J., Leeser, M.: Non-restoring Integer Square Root-A Case Study in Design by Principled Optimization. Technical Report, Cornell University (1994)

    Google Scholar 

  7. Sethi, K., Panda, R.: Multiplier less high-speed squaring circuit for binary numbers. Int. J. Electron. 102, 433–443. Taylor Francis (2014)

    Google Scholar 

  8. Rahman, A., Al-Kafi, A.: New efficient hardware design methodology for modified non-restoring square root algorithm. In: International Conference on Informatics Electronics and Vision, pp. 1–6. Dhaka (2014)

    Google Scholar 

  9. Sutikno, T.: An efficient implementation of non-restoring square root algorithm in gate level. Int. J. Comput. Theory Eng. 3, 46–51 (2011)

    Google Scholar 

  10. Sajid, I., Ahmed, M.: Pipelined implementation of fixed point square root in FPGA using modified non-restoring algorithm. In: 2nd International Conference on Computer and Automation Engineering, pp. 226–230. IEEE press, Islambad (2011)

    Google Scholar 

  11. Wang, L., Schulte, M.: Decimal floating point square root using Newton-Raphson iteration. In: 16th International Conference on Application Specific Systems Architecture Processors, pp. 309–315. IEEE press, USA (2005)

    Google Scholar 

  12. Li, Y., Chu, W.: Parallel array implementations of non-restoring square root algorithm. In: International Conference on Computer Design, pp. 690–695. IEEE Press, USA (1997)

    Google Scholar 

  13. Panda, S.K., Sahu, A.: A novel vedic divider architecture with reduced delay for VLSI applications. Int. J. Comput. Appl. 120, 31–36 (2015)

    Google Scholar 

  14. Pedroni, V.A.: Circuit design with VHDL. The MIT Press, Cambridge, MA (2008)

    Google Scholar 

  15. Sutikno, T., Zakwan, A.: A simple strategy to solve complicated square root problem in DTC for FPGA implementation. In: IEEE Symposium on Industrial Electronics and Application, pp. 691–695. IEEE Press, Penang (2010)

    Google Scholar 

  16. Guenther, H.: Arithmetic Operations of the Machine Fundamentals of Digital Machine Computing, Springer publication (1996)

    Google Scholar 

  17. Panda, S.K., Jena, A.: FPGA-VHDL implementation of pipelined square root circuit for VLSI signal processing applications. Int. J. Comput. Appl. 142, 20–24 (2016)

    Google Scholar 

Download references

Acknowledgements

My sincere thanks to Centurion University of Technology & Management, Jatni, Bhubaneswar, Odisha for providing a high-end research platform.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Siba Kumar Panda .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer Nature Singapore Pte Ltd.

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Panda, S.K., Jena, A., Panda, D.C. (2018). N-bit Pipelined CSM Based Square Root Circuit for Binary Numbers. In: Saeed, K., Chaki, N., Pati, B., Bakshi, S., Mohapatra, D. (eds) Progress in Advanced Computing and Intelligent Engineering. Advances in Intelligent Systems and Computing, vol 564. Springer, Singapore. https://doi.org/10.1007/978-981-10-6875-1_51

Download citation

  • DOI: https://doi.org/10.1007/978-981-10-6875-1_51

  • Published:

  • Publisher Name: Springer, Singapore

  • Print ISBN: 978-981-10-6874-4

  • Online ISBN: 978-981-10-6875-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics