Skip to main content

Challenges and Opportunities in VLSI for Systems Dependability

  • Chapter
  • First Online:
VLSI Design and Test for Systems Dependability

Abstract

This chapter describes the scope, activities, and results of a research program entitled, “Fundamental Technologies for Dependable VLSI Systems (DVLSI for short henceforth)” which began in 2007 and ended in 2015. The program, funded by JST (Japan Science and Technology Agency ) under the CREST (Core Research of Evolutional Science and Technology) initiative, consisted of 11 projects and addressed problems in dependability of electronic systems from various different angles. VLSI is a complex system in its own right and involves a number of potential hazards that arise internally from aging in elements or those that can be caused by external disturbances such as ionizing radiations. Coping with these phenomena has always been a challenge in semiconductor engineering and this program as well. Fabrics (physical structures) robust against threats, bit-error correction methods, and logic-level redundancies have been extensively studied. To go further, challenges of 3-D integration, chip-area (on-chip and across-chip) network, and wireless packaging have been taken on. Exploiting the potential of VLSI in solving problems in systems that call for hard real-time response and/or synchronicity as in robotics and wireless telecommunications has been addressed as new great opportunities for VLSIs. Advanced ways of verification and test for VLSIs have also been dealt with. We will begin this chapter by going over the background of VLSIs for electronic systems and reviewing the necessity of dependability. We will then describe how this multi-project program of CREST DVLSI was formed and conducted. The university-industry collaboration in goal-oriented management efforts is highlighted as essential. A summary of results obtained follows.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 189.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 249.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 249.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. J. Hennessy, D. Patterson, Computer Architecture, 5th edn. (Morgan Kaufmann, Waltham, 2012)

    MATH  Google Scholar 

  2. Univ. Wisconsin, “Processors Guide 2012,” A good list of commercial microprocessors can be found at this university website. https://kb.wisc.edu/showroom/page.php?id=4927

  3. Manufactures are the best sources of information about the working of microprocessors including dependability. Visit the websites of Intel, AMD, ARM, Renesas, etc

    Google Scholar 

  4. Memory chip manufacturers are the best sources of information about the working of DRAMs, SRAMs and NVMs including their dependability. Visit the websites of Micron, Cypress, Intel, Toshiba, for example

    Google Scholar 

  5. D. Patterson, J. Hennessy, Computer Organization and Design: The Hardware/Software Interface, ARM Edition, Morgan Kaufmann, Cambridge, 2017. A companion piece to Hennessy and Patterson [5] and best textbook available on embedded microprocessors

    Google Scholar 

  6. S. Asai, Design and Development of Electronic Systems for Quality and Dependability, Chapter 2 of this book

    Google Scholar 

  7. International Standard, IEC 60300, Dependability management. https://webstore.iec.ch/publication/1293, 1294, etc

  8. International Standard, IEC 61508, Functional safety of electrical/electronic/programmable electronic safety-related systems, pp. 5515–5516. https://webstore.iec.ch/publication

  9. International Standard, ISO 26262, Road vehicles—Functional safety. http://www.iso.org/iso/catalogue_detail?csnumber=43464, etc

  10. Gordon E. Moore, Cramming more components onto integrated circuits. Electron. Mag. 19 4 (1965)

    Google Scholar 

  11. For actual trend in the speed of integration, refer, for example, to: Intel Website, “50 years of Moore’s Law.” http://www.intel.com/content/www/us/en/silicon-innovations/moores-law-technology.html

  12. Brook, David, “Understanding Moore’s Law, Four Decades of Innovation,” Chapter 4 (The Future of Integration), p. 39, CHF Publications, Philadelphia, 2006

    Google Scholar 

  13. For scaling down the sizes of transistors, refer to: Dennard, Robert H. et al., Design of Ion-implanted MOSFETs with very small physical dimensions. IEEE J. Solid State Circ. SC-9, 256–268 (1974)

    Google Scholar 

  14. Computer history museum, “Intel’s microprocessor.” http://www.computerhistory.org/revolution/digital-logic/12/285

  15. For the most recent account of what is happening to the Moore’s law, readers are referred to: Tom Simonite, “Intel puts brakes on Moore’s Law,” MIT Technology Review, March 23, 2016. https://www.technologyreview.com/s/601102/intel-puts-the-brakes-on-moores-law/

  16. Refer to manufacturer’s websites for analog or analogue-digital VLSIs: Texas Instruments, Analog Devices, etc

    Google Scholar 

  17. FPGA Manufacturer Websites: Xilinx, Altera, Intel

    Google Scholar 

  18. Rodríguez-Andina, J. Juan et al. Features, design tools, and application domains of FPGAs. IEEE Trans. Indust. Electron. 54, 1810–1823 (2007)

    Google Scholar 

  19. Refer to a Wikipedia site, “Active Pixel Sensor.” https://en.wikipedia.org/wiki/Active_pixel_sensor

  20. As a good reference, visit MEMS & Sensor Industry Group Website. http://www.memsindustrygroup.org/?page=WhatIsMEMS

  21. Makimoto, Tsugio and Sakai, Yoshio (2003), “Evolution of Low-Power Electronics and Its Future Applications,” Proceedings of the 2003 International Symposium on Low Power Electronics and Design, Seoul, Korea, August 25–27, pp. 2–5

    Google Scholar 

  22. Refer to a Wikipedia page, “Application-Specific Integrated Circuit.” https://en.wikipedia.org/wiki/Application-specific_integrated_circuit

  23. Please refer to manufacturers’ website, for example: Texas Instruments, “Digital Signal Processors.” http://www.ti.com/lsds/ti/processors/dsp/overview.page

  24. Please refer to manufacturers’ website, for example: NVIDIA, “What is GPU-Accelerated Computing?” http://www.nvidia.com/object/what-is-gpu-computing.html

  25. Tanakamaru, “Degradation of Flash Memories and Signal Processing for Dependability,” Section 6.2 of this book

    Google Scholar 

  26. Tanakamaru, “Design and Applications of Dependable Non-volatile Memory Systems,” Chapter 18 of this book

    Google Scholar 

  27. Xilinx, “Zinq-700, All-Programmable SoC.” https://www.xilinx.com/products/silicon-devices/soc/zynq-7000.html

  28. Renesas web page, “RH 850 Family.” https://www.renesas.com/en-sg/products/microcontrollers-microprocessors/rh850.html

  29. The DVLSI website is found at the following URL: http://www.dvlsi.jst.go.jp/english/index.html

  30. Refer to the DVLSI Webpage. http://www.dvlsi.jst.go.jp/english/adviser/index.html

  31. Refer to the DVLSI Webpage. http://www.dvlsi.jst.go.jp/english/list/index.html

  32. Priority Programme “Design and Architectures of Dependable Embedded Systems” (SPP 1500) sponsored by DFG (Deutsche Forschungs Gemeincschaft) http://www.dfg.de/foerderung/info_wissenschaft/2012/info_wissenschaft_12_06/index.html Started in 2011 and still running as of 2016 Also refer to: http://spp1500.itec.kit.edu/

  33. “Failure-Resistant Systems (FRS),” sponsored by NSF (National Science Foundation) and SRC (Semiconductor Research Corporation) in the United States; http://www.nsf.gov/funding/pgm_summ.jsp?pims_id=504754, http://www.nsf.gov/awardsearch/advancedSearchResult?ProgEleCode=8081&BooleanElement=ANY&BooleanRef=ANY&ActiveAwards=true&#results. Started in 2013 and still running in 2017, participated by about 30 teams

  34. Refer to the DVLSI Webpage. http://www.dvlsi.jst.go.jp/english/topics/smpe121201index.html

  35. Refer to the DVLSI Webpage. http://www.dvlsi.jst.go.jp/english/topics/smpe131206index.html

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Shojiro Asai .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer Japan KK, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Asai, S. (2019). Challenges and Opportunities in VLSI for Systems Dependability. In: Asai, S. (eds) VLSI Design and Test for Systems Dependability. Springer, Tokyo. https://doi.org/10.1007/978-4-431-56594-9_1

Download citation

  • DOI: https://doi.org/10.1007/978-4-431-56594-9_1

  • Published:

  • Publisher Name: Springer, Tokyo

  • Print ISBN: 978-4-431-56592-5

  • Online ISBN: 978-4-431-56594-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics