Skip to main content

Part of the book series: Springer Handbooks ((SHB))

Abstract

Nanoimprint lithography (GlossaryTerm

NIL

) is an emerging high-resolution parallel patterning method, mainly aimed towards fields in which electron-beam and high-end photolithography are costly and do not provide sufficient resolution at reasonable throughput. In a top-down approach, a surface pattern of a stamp is replicated in a material by mechanical contact and three-dimensional material displacement. This can be done by shaping a liquid followed by a curing process for hardening, by variation of the thermomechanical properties of a film by heating and cooling, or by any other kind of shaping process using the difference in hardness of a mold and a moldable material. The local thickness contrast of the resulting thin molded film can be used as a means to pattern an underlying substrate at the wafer level by standard pattern transfer methods, but also directly in applications where a bulk modified functional layer is needed. This makes NIL a promising technique for high-volume manufacturing of nanostructured components. At present, structures with feature sizes smaller than 5 nm have been realized, and the resolution is limited by the ability to manufacture the stamp relief. For historical reasons, the term nanoimprint lithography refers to a hot embossing process (thermal NIL). In ultraviolet (GlossaryTerm

UV

) NIL, a photopolymerizable resin is used together with a UV-transparent stamp. In both processes thin-film squeeze flow and capillary action play a central role in understanding the NIL process. In this chapter we will give an overview of NIL, with emphasis on general principles and concepts rather than specific process issues and state-of-the-art tools and processes. Material aspects of stamps and resists are discussed. Specific applications are presented, where imprint methods have significant advantages over other structuring methods. We conclude by discussing the areas where further development in this field is required.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 229.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Hardcover Book
USD 299.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. E. Berliner: Gramophone, US Patent 372786 (1887)

    Google Scholar 

  2. K.C. Pohlmann: The Compact Disc Handbook, Computer Music and Digital Audio Series, Vol. 5, 2nd edn. (A-R Editions, Madison 1992)

    Google Scholar 

  3. H. Schift, C. David, M. Gabriel, J. Gobrecht, L.J. Heyderman, W. Kaiser, S. Köppel, L. Scandella: Nanoreplication in polymers using hot embossing and injection molding, Microelectron. Eng. 53, 171–174 (2000)

    Google Scholar 

  4. S.Y. Chou, P.R. Krauss: Imprint lithography with sub-10 nm feature size and high throughput, Microelectron. Eng. 35, 237–240 (1997)

    Google Scholar 

  5. R.W. Jaszewski, H. Schift, J. Gobrecht, P. Smith: Hot embossing in polymers as a direct way to pattern resist, Microelectron. Eng. 41/42, 575–578 (1998)

    Google Scholar 

  6. Y. Xia, G.M. Whitesides: Soft lithography, Angew. Chem. Int. 37, 550–575 (1998)

    Google Scholar 

  7. B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H. Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf: Printing meets lithography: Soft approaches to high-resolution, IBM J. Res. Dev. 45(5), 697–719 (2001)

    Google Scholar 

  8. H. Schift: Nanoimprint lithography: An old story in modern times? A review, J. Vac. Sci. Technol. B 26(2), 458–480 (2008)

    Google Scholar 

  9. H. Schift (Ed.): NaPa Library of Processes, http://www.psi.ch/lmn/helmut-schift (2014), 3rd edn.

  10. H. Schift: Nanoimprint lithography: 2-D or not 2-D? A review, Appl. Phys. A 121(2), 415–435 (2015)

    Google Scholar 

  11. H. Schift, P. Urwyler, P.M. Kristiansen, J. Gobrecht: Nanoimprint lithography process chains for the fabrication of micro- and nanodevices, J. Micro/Nanolith. MEMS MOEMS 13(3), 031303 (2014)

    Google Scholar 

  12. B.W. Smith, K. Suzuki (Eds.): Science and Technology, Microlithography, 2nd edn. (CRC, Boca Raton 2007)

    Google Scholar 

  13. ITRS: International Technology Roadmap for Semiconductors, http://itrs2.net

  14. H. Moore: Cramming more components onto integrated circuits with unit cost falling as the number of components per circuit rises, Electronics 38(8), 114–117 (1965)

    Google Scholar 

  15. S.Y. Chou, P.R. Krauss, P.J. Renstrom: Imprint of sub-25 nm vias and trenches in polymers, Appl. Phys. Lett. 67(21), 3114–3116 (1995)

    Google Scholar 

  16. S. Fujimori: Fine pattern fabrication by the molded mask method (nanoimprint lithography) in the 1970s, Jpn. J. Appl. Phys. 48, 06FH01 (2009)

    Google Scholar 

  17. S.Y. Chou, P.R. Krauss, P.J. Renstrom: Nanoimprint lithography, J. Vac. Sci. Technol. B 14(6), 4129–4133 (1996)

    Google Scholar 

  18. L. Baraldi, R. Kunz, J. Meissner: High-precision molding of integrated optical structures, Proc. SPIE 1992, 21–29 (1993)

    Google Scholar 

  19. L.J. Heyderman, H. Schift, C. David, J. Gobrecht, T. Schweizer: Flow behaviour of thin polymer films used for hot embossing lithography, Microelectron. Eng. 54, 229–245 (2000)

    Google Scholar 

  20. H. Schulz, M. Wissen, N. Bogdanski, H.-C. Scheer, K. Mattes, C. Friedrich: Impact of molecular weight of polymers and shear rate effects for nanoimprint lithography, Microelectron. Eng. 83, 259–280 (2006)

    Google Scholar 

  21. J. Haisma, M. Verheijen, K. van den Heuvel, J. van den Berg: Mold-assisted lithography: A process for reliable pattern replication, J. Vac. Sci. Technol. B 14, 4124–4128 (1996)

    Google Scholar 

  22. M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michealson, S.V. Sreenivasan, J. Ekerdt, C.G. Willson: Step and flash imprint lithography: A new approach to high-resolution patterning, Proc. SPIE 3676, 379–389 (1999)

    Google Scholar 

  23. D.J. Resnick, W.J. Dauksher, D. Mancini, K.J. Nordquist, T.C. Bailey, S. Johnson, N. Stacey, J.G. Ekerdt, C.G. Willson, S.V. Sreenivasan, N. Schumaker: Imprint lithography: Lab curiosity or the real NGL?, Proc. SPIE 5037, 12–23 (2003)

    Google Scholar 

  24. D.J. Resnick, S.V. Sreenivasan, C.G. Willson: Step and flash imprint lithography, Mater. Today 8, 34–42 (2005)

    Google Scholar 

  25. Z. Ye, K. Luo, X. Lu, B. Fletcher, W. Liu, F. Xu, D. LaBrake, D.J. Resnick, S.V. Sreenivasan: Defect reduction for semiconductor memory applications using jet and flash imprint lithography, J. Micro/Nanolith. MEMS MOEMS 11(3), 031404 (2012)

    Google Scholar 

  26. M. Doi: Introduction to Polymer Physics (Claredon, Oxford 1996)

    Google Scholar 

  27. D.W. van Krevelen: Properties of Polymers (Elsevier, Amsterdam 1990)

    Google Scholar 

  28. H. Schift, L.J. Heyderman: Nanorheology-squeezed flow in hot embossing of thin films. In: Nanostructure Science and Technology, Alternative Lithography, ed. by D.J. Lockwood, C. Sotomayor Torres (Kluwer Academic, New York 2003) pp. 46–76

    Google Scholar 

  29. H.-C. Scheer, H. Schulz, T. Hoffmann, C.M. Sotomayor Torres: Nanoimprint techniques. In: Handbook of Thin Film Materials, Vol. 5, ed. by H.S. Nalva (Academic, New York 2002) pp. 1–60

    Google Scholar 

  30. E.A. Dobisz, S.L. Brandow, R. Bass, J. Mitterender: Effects of molecular properties on nanolithography in polymethyl methacrylate, J. Vac. Sci. Technol. B 18, 107–111 (2000)

    Google Scholar 

  31. R.B. Bird, C.F. Curtis, R.C. Armstrong, O. Hassager: Dynamics of Polymeric Liquids, Fluid Mechanics, Vol. 1 (Wiley, New York 1987)

    Google Scholar 

  32. H.-C. Scheer, H. Schulz: A contribution to the flow behaviour of thin polymer films during hot embossing lithography, Microelectron. Eng. 56, 311–332 (2001)

    Google Scholar 

  33. C. Gourgon, C. Perret, G. Micouin, F. Lazzarino, J.H. Tortai, O. Joubert, J.-P.E. Grolier: Influence of pattern density in nanoimprint lithography, J. Vac. Sci. Technol. B 21(1), 98–105 (2003)

    Google Scholar 

  34. H.D. Rowland, W.P. King: Polymer deformation and filling modes during microembossing, J. Micromech. Microeng. 14, 1625–1632 (2004)

    Google Scholar 

  35. H. Schift, L.J. Heyderman: M. Auf der Maur, J. Gobrecht: Pattern formation in hot embossing of thin polymer films, Nanotechnology 12, 173–177 (2001)

    Google Scholar 

  36. T.J. Ui, R.G. Hussey, R.P. Roger: Stokes drag on a cylinder in axial motion, Phys. Fluids 27, 787 (1984)

    Google Scholar 

  37. Y. Hirai, S. Yoshida, N. Takagi: Defect analysis in thermal nanoimprint lithography, J. Vac. Sci. Technol. B 21(6), 2765–2770 (2003)

    Google Scholar 

  38. M. Colburn, B.J. Choi, S.V. Sreenivasan, R.T. Bonnecaze, C.G. Willson: Ramifications of lubrication theory on imprint lithography, Microelectron. Eng. 75, 321–329 (2004)

    Google Scholar 

  39. A. Fuchs, M. Bender, U. Plachetka, U. Hermanns, H. Kurz: Ultraviolet-based nanoimprint at reduced environmental pressure, J. Vac. Sci. Technol. B 23(6), 2925–2928 (2005)

    Google Scholar 

  40. M. Colburn, I. Suez, B.J. Choi, M. Meissl, T. Bailey, S.V. Sreenivasan, J.G. Ekerdt, C.G. Willson: Characterization and modelling of volumetric and mechanical properties for step and flash imprint lithography photopolymers, J. Vac. Sci. Technol. B 19(6), 2685–2689 (2001)

    Google Scholar 

  41. D.J. Resnick, W.J. Dauksher, D. Mancini, K.J. Nordquist, T.C. Bailey, S. Johnson, N. Stacey, J.G. Ekerdt, C.G. Willson, S.V. Sreenivasan, N. Schumaker: Imprint lithography for integrated circuit fabrication, J. Vac. Sci. Technol. B 21(6), 2624–2631 (2003)

    Google Scholar 

  42. M. Komuro, J. Taniguchi, S. Inoue, N. Kimura, Y. Tokano, H. Hiroshima, S. Matsui: Imprint characteristics by photo-induced solidification of liquid polymer, Jpn. J. Appl. Phys. 39, 7075–7079 (2000)

    Google Scholar 

  43. H. Schulz, H.-C. Scheer, T. Hoffmann, C.M. Sotomayor Torres, K. Pfeiffer, G. Bleidiessel, G. Grützner, C. Cardinaud, F. Gaboriau, M.-C. Peignon, J. Ahopelto, B. Heidari: New polymer materials for nanoimprinting, J. Vac. Sci. Technol. B 18(4), 1861–1865 (2000)

    Google Scholar 

  44. X. Cheng, L.J. Guo: A combined-nanoimprint-and-photolithography patterning technique, Microelectron. Eng. 3/4, 277–282 (2004)

    Google Scholar 

  45. X. Cheng, L.J. Guo: One-step lithography for various size patterns with a hybrid mask-mold, Microelectron. Eng. 3/4, 288–293 (2004)

    Google Scholar 

  46. M. Tormen, L. Businaro, M. Altissimo, F. Romanato, S. Cabrini, F. Perennes, R. Proietti, H.-B. Sun, S. Kawata, E. Di Fabrizio: 3-D patterning by means of nanoimprinting, x-ray and two-photon lithography, Microelectron. Eng. 73/74, 535–541 (2004)

    Google Scholar 

  47. X. Sun, L. Zhuang, W. Zhang, S.Y. Chou: Multilayer resist methods for nanoimprint lithography on nonflat surfaces, J. Vac. Sci. Technol. B 16(6), 3922–3925 (1998)

    Google Scholar 

  48. A. Lebib, M. Natali, S.P. Li, E. Cambril, L. Manin, Y. Chen, H.M. Janssen, R.P. Sijbesma: Control of the critical dimension with a trilayer nanoimprint lithography procedure, Microelectron. Eng. 57/58, 411–416 (2001)

    Google Scholar 

  49. M.W. Lin, H.-L. Chao, J. Hao, E.K. Kim, F. Palmieri, W.C. Kim, M. Dickey, P.S. Ho, C.G. Willson: Planarization for reverse-tone step and flash imprint lithography, Proc. SPIE 6151, 688–699 (2006)

    Google Scholar 

  50. W. Trybula: Sematech, AMRC, and Nano. In: Oral Presentation at Nanoprint and Nanoimprint Tech. (NNT) Conf., Vienna (2004)

    Google Scholar 

  51. S. Johnson, D.J. Resnick, D. Mancini, K.J. Nordquist, W.J. Dauksher, K. Gehoski, J.H. Baker, L. Dues, A. Hooper, T.C. Bailey, S.V. Sreenivasan, J.G. Ekerdt, C.G. Willson: Fabrication of multi-tiered structures on step and flash imprint lithography templates, Microelectron. Eng. 67/68, 221–228 (2003)

    Google Scholar 

  52. H.-J. Kim, M. Almanza-Workman, B. Garcia, O. Kwon, F. Jeffrey, S. Braymen, J. Hauschildt, K. Junge, D. Larson, D. Stieler, A. Chaiken, B. Cobene, R. Elder, W. Jackson, M. Jam, A. Jeans, H. Luo, P. Mei, C. Perlov, C. Taussig: Roll-to-roll manufacturing of electronics on flexible substrates using self-aligned imprint lithography (SAIL), J. Soc. Inf. Disp. 17(11), 963–970 (2009)

    Google Scholar 

  53. Y.P. Kong, H.Y. Lowa, S.W. Pang, A.F. Yee: Duo-mold imprinting of three-dimensional polymeric structures, J. Vac. Sci. Technol. B 22(6), 3251–3265 (2004)

    Google Scholar 

  54. T. Borzenko, M. Tormen, G. Schmidt, L.W. Molenkamp: Polymer bonding process for nanolithography, Appl. Phys. Lett. 79(14), 2246–2248 (2001)

    Google Scholar 

  55. N. Kehagias, V. Reboud, G. Chansin, M. Zelsmann, C. Jeppesen, C. Schuster, M. Kubenz, F. Reuther, G. Gruetzner, C.M. Sotomayor Torres: Reverse-contact UV nanoimprint lithography for multilayered structure fabrication, Nanotechnology 18, 175303 (2007)

    Google Scholar 

  56. C.G. Willson, R.A. Dammel, A. Reiser: Photoresist materials: A historical perspective, Proc. SPIE 3049, 28–41 (1997)

    Google Scholar 

  57. M.D. Stewart, C.G. Willson: Photoresists. In: Encyclopedia of Materials: Science and Technology, ed. by K.H.J. Buschow, R.W. Cahn, M.C. Flemings, B. Ilschner (Elsevier, Amsterdam 2001) pp. 6973–6978

    Google Scholar 

  58. B.K. Long, B.K. Keitz, C.G. Willson: Materials for step and flash imprint lithography (S-FIL), J. Mater. Chem. 17, 3575–3580 (2007)

    Google Scholar 

  59. M. Vogler, S. Wiedenberg, M. Mühlberger, I. Bergmair, T. Glinsner, H. Schmidt, E.-B. Kley, G. Grützner: Development of a novel, low-viscosity UV-curable polymer system for UV-nanoimprint lithography, Microelectron. Eng. 84, 984–988 (2007)

    Google Scholar 

  60. F.A. Houle, C.T. Rettner, D.C. Miller, R. Sooriyakumaran: Antiadhesion considerations for UV nanoimprint lithography, Appl. Phys. Lett. 90, 213103 (2007)

    Google Scholar 

  61. F.A. Houle, E. Guyer, D.C. Miller, R. Dauskardt: Adhesion between template materials and UV-cured nanoimprint resists, J. Vac. Sci. Technol. B 25(4), 1179–1185 (2007)

    Google Scholar 

  62. H. Schift, S. Park, J. Gobrecht, S. Saxer, F. Meier, W. Raupach, K. Vogelsang: Hybrid bendable stamp copies for molding fabricated by nanoimprint, Microelectron. Eng. 78/79, 605–611 (2005)

    Google Scholar 

  63. R.W. Jaszewski, H. Schift, B. Schnyder, A. Schneuwly, P. Gröning: The deposition on anti-adhesive ultra-thin teflon-like films and their interaction with polymers during hot embossing, Appl. Surf. Sci. 143, 301–308 (1999)

    Google Scholar 

  64. H. Schift, S. Saxer, S. Park, C. Padeste, U. Pieles, J. Gobrecht: Controlled co-evaporation of silanes for nanoimprint stamps, Nanotechnology 16, S171–175 (2005)

    Google Scholar 

  65. N. Roos, T. Luxbacher, T. Glinsner, K. Pfeiffer, H. Schulz, H.-C. Scheer: Nanoimprint lithography with a commercial 4 inch bond system for hot embossing, Proc. SPIE 4343, 427–436 (2001)

    Google Scholar 

  66. T. Haatainen, J. Ahopelto, G. Grützner, M. Fink, K. Pfeiffer: Step and stamp imprint lithography using a commercial flip chip bonder, Proc. SPIE 3997, 874–879 (2000)

    Google Scholar 

  67. H. Tan, A. Gilbertson, S.Y. Chou: Roller nanoimprint lithography, J. Vac. Sci. Technol. B 16(6), 3926–3928 (1998)

    Google Scholar 

  68. R. Ji, M. Hornung, M.A. Verschuuren, R. van de Laar, J. van Eekelen, U. Plachetka, M. Moeller, C. Moormann: UV enhanced substrate conformal imprint lithography (UV-SCIL) technique for photonic crystals patterning in LED manufacturing, Microelectron. Eng. 87(5–8), 963–967 (2010)

    Google Scholar 

  69. M. Tormen, E. Sovernigo, A. Pozzato, M. Pianigiani, M. Tormen: Sub-100 μs nanoimprint lithography at wafer scale, Microelectron. Eng. 141, 21–26 (2015)

    Google Scholar 

  70. S.Y. Chou, C. Keimel, J. Gu: Ultrafast and direct imprint of nanostructures in silicon, Nature 417, 835–837 (2002)

    Google Scholar 

  71. H. Schift: Roll embossing and roller imprint. In: Science and New Technol. Nanoimprint Adv. Technol. Appl. Nanoimprint, ed. by Y. Hirai (Frontier, Tokyo 2006) pp. 74–89

    Google Scholar 

  72. N. Kooy, K. Mohamed, L.T. Pin, O.S. Guan: A review of roll-to-roll nanoimprint lithography, Nanoscale Res. Lett. 9, 320 (2014)

    Google Scholar 

  73. H. Schift, R.W. Jaszewski, C. David, J. Gobrecht: Nanostructuring of polymers and fabrication of interdigitated electrodes by hot embossing lithography, Microelectron. Eng. 46, 121–124 (1999)

    Google Scholar 

  74. L.J. Heyderman, H. Schift, C. David, B. Ketterer, M. Auf der Maur, J. Gobrecht: Nanofabrication using hot embossing lithography and electroforming, Microelectron. Eng. 57/58, 375–380 (2001)

    Google Scholar 

  75. L.J. Heyderman, B. Ketterer, D. Bächle, F. Glaus, B. Haas, H. Schift, K. Vogelsang, J. Gobrecht, L. Tiefenauer, O. Dubochet, P. Surbled, T. Hessler: High volume fabrication of customised nanopore membrane chips, Microelectron. Eng. 67/68, 208–213 (2003)

    Google Scholar 

  76. H. Schift, P. Urwyler, P.M. Kristiansen, J. Gobrecht: Nanoimprint lithography process chains for the fabrication of micro- and nanodevices, J. Micro/Nanolith. MEMS MOEMS 10, 031303 (2014)

    Google Scholar 

  77. T. Higashiki, T. Nakasugi, I. Yoneda: Nanoimprint lithography and future patterning for semiconductor devices, J. Micro/Nanolith. MEMS MOEMS 10(4), 043008 (2011)

    Google Scholar 

  78. T.R. Albrecht, H. Arora, V. Ayanoor-Vitikkate, J.-M. Beaujour, D. Bedau, D. Berman, A.L. Bogdanov, Y.-A. Chapuis, J. Cushen, E.E. Dobisz, G. Doerk, H. Gao, M. Grobis, B. Gurney, W. Hanson, O. Hellwig, T. Hirano, P.-O. Jubert, D. Kercher, J. Lille, Z. Liu, C.M. Mate, Y. Obukhov, K.C. Patel, K. Rubin, R. Ruiz, M. Schabes, L. Wan, D. Weller, T.-W. Wu, E. Yan: Bit patterned magnetic recording: theory, media fabrication, and recording performance, IEEE Trans. Magn. 51(5), 44 (2015)

    Google Scholar 

  79. G.M. McClelland, M.W. Hart, C.T. Rettner, M.E. Best, K.R. Carter, B.D. Terris: Nanoscale patterning of magnetic islands by imprint lithography using a flexible mold, Appl. Phys. Lett. 81, 1483–1485 (2002)

    Google Scholar 

  80. Y.-C. Lee, S.-C. Yeh, Y.-Y. Chou, P.-J. Tsai, J.-W. Pan, H.-M. Chou, C.-H. Hou, Y.-Y. Chang, M.-S. Chu, C.-H. Wu, C.-H. Ho: High-efficiency InGaN-based LEDs grown on patterned sapphire substrates using nanoimprinting technology, Microelectron. Eng. 105, 86–90 (2013)

    Google Scholar 

  81. S.-W. Ahn, K.-D. Lee, J.-S. Kim, S.H. Kim, S.H. Lee, J.-D. Park, P.-W. Yoon: Fabrication of subwavelength aluminum wire grating using nanoimprint lithography and reactive ion etching, Microelectron. Eng. 78/79, 314–318 (2005)

    Google Scholar 

  82. H. Schift, S. Park, C.-G. Choi, C.-S. Kee, S.-P. Han, K.-B. Yoon, J. Gobrecht: Fabrication process for polymer photonic crystals using nanoimprint lithography, Nanotechnology 16, S261–S265 (2005)

    Google Scholar 

  83. C.-Y. Chao, L.J. Guo: Polymer microring resonators fabricated by nanoimprint technique, J. Vac. Sci. Technol. B 20, 2862–2866 (2002)

    Google Scholar 

  84. J. Wang, X. Sun, L. Chen, S.Y. Chou: Direct nanoimprint of submicron organic light-emitting structures, Appl. Phys. Lett. 75, 2767–2769 (1999)

    Google Scholar 

  85. X. Cheng, Y. Hong, J. Kanicki, L.J. Guo: High-resolution organic polymer light-emitting pixels fabricated by imprinting technique, J. Vac. Sci. Technol. B 20, 2877–2880 (2002)

    Google Scholar 

  86. D. Nilsson, S. Balslev, A. Kristensen: A microfluidic dye laser fabricated by nanoimprint lithography in a highly transparent and chemically resistant cyclo-olefin copolymer (COC), J. Micromech. Microeng. 15, 296–300 (2005)

    Google Scholar 

  87. C. Clavijo Cedeno, J. Seekamp, A.P. Kam, T. Hoffmann, S. Zankovych, C.M. Sotomayor Torres, C. Menozzi, M. Cavallini, M. Murgia, G. Ruani, F. Biscarini, M. Behl, R. Zentel, J. Ahopelto: Nanoimprint lithography for organic electronics, Microelectron. Eng. 61/62, 25–31 (2002)

    Google Scholar 

  88. L.I. Segerink, J.C.T. Eijkel: Nanofluidics in point of care applications, Lab Chip 14, 3201–3205 (2014)

    Google Scholar 

  89. A. Manz, N. Graber, H.M. Widmer: Miniaturized total chemical analysis systems: A novel concept for chemical sensing, Sens. Actuators B 1, 244–248 (1990)

    Google Scholar 

  90. E. Verpoorte, N.F. De Rooij: Microfluidics meets MEMS, Proc. IEEE 91, 930–953 (2003)

    Google Scholar 

  91. A. Pepin, P. Youinou, V. Studer, A. Lebib, Y. Chen: Nanoimprint lithography for the fabrication of DNA electrophoresis chips, Microelectron. Eng. 61/62, 927–932 (2002)

    Google Scholar 

  92. K. Emoto, F. Sakai, C. Sato, Y. Takabayashi, H. Nakano, T. Takabayashi, K. Yamamoto, T. Hattori, M. Hiura, T. Ando, Y. Kawanobe, H. Azuma, T. Iwanaga, J. Choi, A. Aghili, C. Jones, J.W. Irving, B. Fletcher, Z. Ye: Defectivity and particle reduction for mask life extension, and imprint mask replication for high-volume semiconductor manufacturing, Proc. SPIE 9777, 97770C (2016), Alternative Lithographic Technol. VIII

    Google Scholar 

  93. S.Y. Chou: Patterned magnetic nanostructures and quantized magnetic disks, Proc. IEEE 85, 652–671 (1997)

    Google Scholar 

  94. M.N. Baibich, J.M. Broto, A. Fert, F.N. Van Dau, F. Petroff, P. Eitenne, G. Creuzet, A. Friederich, J. Chazelas: Giant magnetoresistance of (001)Fe/(001)Cr magnetic superlattices, Phys. Rev. Lett. 61, 2472–2475 (1988)

    Google Scholar 

  95. Y. Li, A.K. Menon: Magnetic recording technologies: Overview. In: Encyclopedia of Materials: Science and Technology, ed. by K.H.J. Buschow, R.W. Cahn, M.C. Flemings, B. Ilschner (Elsevier, Amsterdam 2001) pp. 4948–4957

    Google Scholar 

  96. D. Wachenschwanz, W. Jiang, E. Roddick, A. Homola, P. Dorsey, B. Harper, D. Treves, C. Bajorek: Design of a manufacturable discrete track recording medium, IEEE Trans. Magn. 41, 670–675 (2005)

    Google Scholar 

  97. S.Y. Chou, M. Wei, P.R. Krauss, P.B. Fisher: Study of nanoscale magnetic structures fabricated using electron beam lithography and quantum magnetic disk, J. Vac. Sci. Technol. B 12, 3695–3698 (1994)

    Google Scholar 

  98. S.Y. Chou: Patterned magnetic nanostructures and quantized magnetic disks, Proc. IEEE 85, 652–671 (1997)

    Google Scholar 

  99. P. Lalanne, M. Hutley: Artificial media optical properties – Subwavelength scale. In: Enclopedia of Optical Engineering, ed. by R.G. Driggers, C. Hoffman (Marcel Dekker, New York 2003) pp. 62–71

    Google Scholar 

  100. Z. Yu, W. Wu, L. Chen, S. Chou: Fabrication of large area 100 nm pitch grating by spatial frequency doubling an nanoimprint lithography for subwavelength optical applications, J. Vac. Sci. Technol. B 19, 2816–2819 (2001)

    Google Scholar 

  101. S. Ahn, J. Yang, M. Miller, M. Ganapathisubramanian, M. Menezes, J. Choi, F. Xu, D.J. Resnick, S.V. Sreenivasan: High performance wire grid polarizers using jet and flash imprint lithography, Proc. SPIE 8680, 86800W (2013), Alternative Lithographic Technol. V

    Google Scholar 

  102. M.G. Kang, L.J. Guo: Nanoimprinted semitransparent metal electrodes and their application in organic light-emitting diodes, Adv. Mater. 19(10), 1391 (2007)

    Google Scholar 

  103. A. Boltasseva: Plasmonic components fabrication via nanoimprint, J. Opt. A: Pure Appl. Opt. 11, 114001 (2009)

    Google Scholar 

  104. A. Kristensen, J.K.W. Yang, S.I. Bozhevolnyi, S. Link, P. Nordlander, N.J. Halas, N.A. Mortensen: Plasmonic color generation, Nat. Rev. Mater. 2, 1–14 (2016)

    Google Scholar 

  105. G. Kang, J. Yoo, J. Ahn, K. Kim: Transparent dielectric nanostructures for efficient light management in optoelectronic applications, Nano Today 10(1), 22–47 (2015)

    Google Scholar 

  106. S.H. Kim, K.-D. Lee, J.-Y. Kim, M.-K. Kwon, S.-J. Park: Fabrication of photonic crystal structures on light emitting diodes by nanoimprint lithography, Nanotechnology 18, 055306 (2007)

    Google Scholar 

  107. H. Chen, Q. Zhang, S.Y. Chou: Patterning of light-extraction nanostructures on sapphire substrates using nanoimprint and ICP etching with different masking materials, Nanotechnology 26(8), 085302 (2015)

    Google Scholar 

  108. A. Mellor, H. Hauser, C. Wellens, J. Benick, J. Eisenlohr, M. Peters, A. Guttowski, I. Tobías, A. Martí, A. Luque, B. Bläsi: Nanoimprinted diffraction gratings for crystalline silicon solar cells: Implementation, characterization and simulation, Opt. Express 21(S2), A295 (2013)

    Google Scholar 

  109. D. Nilsson, T. Nielsen, A. Kristensen: Solid state micro-cavity dye lasers fabricated by nanoimprint lithography, Rev. Sci. Instrum. 75, 4481–4486 (2004)

    Google Scholar 

  110. C. Clavijo Cedeno, J. Seekamp, A.P. Kam, T. Hoffmann, S. Zankovych, C.M. Sotomayor Torres, C. Menozzi, M. Cavallini, M. Murgia, G. Ruani, F. Biscarini, M. Behl, R. Zentel, J. Ahopelto: Nanoimprint lithography for organic electronics, Microelectron. Eng. 61/62, 25–31 (2002)

    Google Scholar 

  111. L.J. Guo, X. Cheng, C.Y. Chao: Fabrication of photonic nanostructures in nonlinear optical polymers, J. Modern Opt. 49, 663–673 (2002)

    Google Scholar 

  112. L.J. Guo: Recent progress in nanoimprint technology and its applications, J. Phys. D: Appl. Phys. 37, R123–R141 (2004)

    Google Scholar 

  113. C.-Y. Chao, L.J. Guo: Reduction of surface scattering loss in polymer microrings using thermal-reflow technique, IEEE Photonics Technol. Lett. 16, 1498–1500 (2004)

    Google Scholar 

  114. H.C. Hoch, L.W. Jelinski, H.C. Craighead (Eds.): Nanofabrication and Biosystems: Integrating Materials Science, Engineering and Biology (Cambridge Univ. Press, Cambridge 1996)

    Google Scholar 

  115. H.G. Craighead: Nanoelectromechanical systems, Science 290, 1532–1535 (2000)

    Google Scholar 

  116. L.R. Huang, J.O. Tegenfeldt, J.J. Kraeft, J.C. Sturm, R.H. Austin, E.C. Cox: A DNA prism for high-speed continous frationation of large DNA molecules, Nat. Biotechnol. 20, 1048–1051 (2002)

    Google Scholar 

  117. H.G. Craighead: Nanostructure science and technology: Impact and prospects for biology, J. Vac. Sci. Technol. A 21, S216–S221 (2003)

    Google Scholar 

  118. J.O. Tegenfeldt, C. Prinz, H. Cao, S. Chou, W.W. Reisner, R. Riehn, Y.M. Wang, E.C. Cox, J.C. Sturm, P. Silberzan, R.H. Austin: The dynamics of genomic-length DNA molecules in 100-nm channels, Proc. Natl. Acad. Sci. USA 101, 10979–10983 (2004)

    Google Scholar 

  119. L.J. Guo, X. Cheng, C.-F. Chou: Fabrication of size-controllable nanofluidic channels by nanoimprinting and its application for DNA stretching, Nano Lett. 4, 69–73 (2004)

    Google Scholar 

  120. L.H. Thamdrup, A. Klukowska, A. Kristensen: Stretching DNA in polymer nanochannels fabricated by thermal imprint in PMMA, Nanotechnology 19, 125301 (2008)

    Google Scholar 

  121. J.O. Tegenfeldt, C. Prinz, H. Cao, R.L. Huang, R.H. Austin, S.Y. Chou, E.C. Cox, J.C. Sturm: Micro- and nanofluidics for DNA analysis, Anal. Bioanal. Chem. 378, 1678–1692 (2004)

    Google Scholar 

  122. M.J. Dalby, N. Gadegaard, R. Tare, A. Andar, M.O. Riehle, P. Herzyk, C.D.W. Wilkinson, R.O.C. Oreff: The control of human mesenchymal cell differentiation using nanoscale symmetry and disorder, Nat. Mater. 6, 997–1003 (2007)

    Google Scholar 

  123. J. Hasan, K. Chatterjee: Recent advances in engineering topography mediated antibacterial surfaces, Nanoscale 7, 15568 (2015)

    Google Scholar 

  124. K. Seunarine, D.O. Meredith, M.O. Riehle, C.D.W. Wilkinson, N. Gadegaard: Biodegradable polymer tubes with lithographically controlled 3-D micro- and nanotopography, Microelectron. Eng. 85(5/6), 1350–1354 (2008)

    Google Scholar 

  125. A. Kapr: Johann Gutenberg: The man and his invention, http://www.gutenberg.de/publ.htm (1996)

  126. J.A. Liddle, G.M. Gallatin: Nanomanufacturing: A Perspective, ACS Nano (2016) doi:10.1021/acsnano.5b03299

  127. M. Beck, B. Heidari: Nanoimprint lithography for high volume HDI manufacturing, OnBoard Technol. Sept., 52–55 (2006), http://www.Onboard-Technology.com

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Bharat Bhushan

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer-Verlag GmbH Germany

About this chapter

Cite this chapter

Schift, H., Kristensen, A. (2017). Nanoimprint Lithography. In: Bhushan, B. (eds) Springer Handbook of Nanotechnology. Springer Handbooks. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-662-54357-3_5

Download citation

  • DOI: https://doi.org/10.1007/978-3-662-54357-3_5

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-662-54355-9

  • Online ISBN: 978-3-662-54357-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics