Skip to main content

Emerging NVM Circuit Techniques and Implementations for Energy-Efficient Systems

  • Chapter
  • First Online:
Beyond-CMOS Technologies for Next Generation Computer Design

Abstract

This chapter addresses emerging resistive nonvolatile memory (NVM) circuit design techniques and implementations for energy-efficient systems. First we introduce emerging memory technologies, including resistive RAM (ReRAM), phase change memory (PCM), and spin-torque transfer magnetic RAM (STT-MRAM). Next, we examine circuit design challenges for read and write operations, and review some of the advanced circuit techniques. Lastly, we discuss the implementation for energy-efficient systems with nonvolatile logic and nonvolatile SRAM using emerging resistive NVM.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 99.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 129.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. R.H. Fowler, L. Nordheim, Electron emission in intense electric fields. Proc R Soc A 119(781), 173–181 (1928)

    Article  Google Scholar 

  2. Y. Choi et al., A 20 nm 1.8 V 8 Gb PRAM with 40 MB/s program bandwidth, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2012), pp. 46–47

    Google Scholar 

  3. H.-S.P. Wong, C. Ahn, J. Cao, H.-Y. Chen, S.W. Fong, Z. Jiang, C. Neumann, S. Qin, J. Sohn, Y. Wu, S. Yu, X. Zheng, H. Li, J.A. Incorvia, S.B. Eryilmaz, K. Okabe, Stanford memory trends, https://nano.stanford.edu/stanford-memory-trends, Accessed 12 Oct 2016

  4. R. Atiken, System requirements for memories, in International Electron Devices Meetings Short Course Material (2015)

    Google Scholar 

  5. B.C. Lee, E. Ipek, O. Mutlu, D. Burger, Architecting phase change memory as a scalable DRAM alternative, in Proceedings of International Symposium on Computer Architecture (ISCA) (2009)

    Google Scholar 

  6. K. Ikegami et al., Low power and high density STT-MRAM for embedded cache memory using advanced perpendicular MTJ integrations and asymmetric compensation techniques, in IEEE International Electron Devices Meeting Technical Digest (2014), pp. 650–653

    Google Scholar 

  7. Y. Wu, B. Lee, H.-S.P. Wong, Al2O3-based RRAM using atomic layer deposition (ALD) with 1-μA RESET current. IEEE Electron Devic Lett 31(12), 1449–1451 (2010)

    Article  Google Scholar 

  8. G. Jan et al., Demonstration of fully functional 8Mb perpendicular STT-MRAM chips with sub-5ns writing for non-volatile embedded memories, in Proceedings of Symposium on VLSI Technology (2014)

    Google Scholar 

  9. D. Ielmini, Emerging memory technologies: ReRAM and PCM, in International Electron Devices Meetings Short Course Material (2015)

    Google Scholar 

  10. K.J. Lee et al., A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput. IEEE J. Solid State Circuits 43(1), 150–162 (2008)

    Article  Google Scholar 

  11. K. Tsuchida et al., A 64 Mb MRAM with clamped-reference and adequate-reference schemes, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2010), pp. 258–269

    Google Scholar 

  12. S.S. Sheu et al., A 4 Mb embedded SLC resistive-RAM macro with 7.2 ns read-write random-access time and 160ns MLC-access capability, in Proceedings of the IEEE International Solid-State Circuits Conference (ISSCC) (2011), pp. 200–201

    Google Scholar 

  13. C.-Y. Lee, DRAM life extension challenge and response, in International Electron Devices Meetings Short Course Material (2015)

    Google Scholar 

  14. M. Stanisavljevic, H. Pozidis, A. Athmanathan, N. Papandreou, T. Mittelholzer, E. Eleftheriou, Demonstration of reliable Triple-Level-Cell (TLC) phase-change memory, in International Memory Workshop (2016)

    Google Scholar 

  15. D. Worledge et al., Switching distributions and write reliability of perpendicular spin torque MRAM, in IEEE International Electron Devices Meeting Technical Digest (2010), pp. 296–299

    Google Scholar 

  16. A. Fantini et al., Intrinsic switching variability in HfO2 RRAM, in IEEE International Memory Workshop (IMW) (2013)

    Google Scholar 

  17. T. Cuong et al., A 7.8 MB/s 64 Gb 4-Bit/cell NAND flash memory on 43 nm CMOS technology, in Non-volatile Memory Workshop (2010)

    Google Scholar 

  18. P. Zhou, B. Zhao, J. Yang, Y. Zhang, A durable and energy efficient main memory using phase change memory, in Proceedings of International Symposium on Computer Architecture (ISCA) (2009)

    Google Scholar 

  19. Y. Xie, Introduction, in Emerging Memory Technologies Design, Architecture And Applications, (Springer, Berlin, 2014)

    Chapter  Google Scholar 

  20. Y. Xie, NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Non-volatile Memory, a Chapter in Emerging Memory Technologies Design, Architecture and Applications (Springer, Berlin, 2014)

    Google Scholar 

  21. S. Ambrogio et al., Understanding switching variability and random telegraph noise in resistive RAM, in IEEE International Electron Devices Meeting (IEDM) Technical Digest (2013), pp. 782–785

    Google Scholar 

  22. T.-Y. Liu et al., A 130.7-mm 2-layer 32-Gb ReRAM memory device in 24-nm technology. IEEE J. Solid State Circuits 49(1), 140–153 (2014)

    Article  Google Scholar 

  23. M. J. Breitwisch, “Phase Change Random Access Memory Integration,” a Phase Change Materials: Science and Applications, Springer; Berlin, 2009

    Google Scholar 

  24. H.-S.P. Wong et al., Phase change memory. Proc. IEEE 98(12), 2201–2227 (2010)

    Article  Google Scholar 

  25. F. Xiong, A.D. Liao, D. Estrade, E. Pop, Low-power switching of phase-change materials with carbon nanotube electrodes. Sci Mag 332, 568–570 (2011)

    Google Scholar 

  26. M. Brightsky, et al., Crystalline-as-deposited ALD phase change material confined PCM cell for high density storage class memory, in IEEE International Electron Devices Meeting Technical Digest (2015), pp. 60–63

    Google Scholar 

  27. D.H. Im, et al., A unified 7.5 nm dash-type confined cell for high performance PRAM device, in Proceedings of IEEE International Electron Devices Meeting (2008)

    Google Scholar 

  28. J.J. Nowak et al., Demonstration of ultralow bit error rates for spin-torque magnetic random-access memory with perpendicular magnetic anisotropy. IEEE Magn. Lett. 2, 3000204 (2011)

    Article  Google Scholar 

  29. Everspin, the MRAM Company, https://www.everspin.com/. Accessed 6 Nov 2016

  30. T. Devolder, Basics of STT-MRAM, in International Electron Devices Meetings Short Course Material (2015)

    Google Scholar 

  31. C. Kim et al., A covalent-bonded cross-coupled current-mode sense amplifier for STT-MRAM with 1T1MTJ common source-line structure array, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2015), pp. 134–136

    Google Scholar 

  32. R. Tekemura et al., A 32-Mb SPRAM with 2T1R memory cell, localized bi-directional write driver and ‘1’/‘0’ dual-array equalized reference scheme. IEEE J. Solid State Circuits 45(4), 869–879 (2010)

    Article  Google Scholar 

  33. Z.-H. Lin, Y.-H. Wang, Observation of indium ion migration-induced resistive switching in Al/Mg0.5Ca0.5TiO3/ITO. Appl. Phys. Lett. 109(5), 053507 (2016)

    Article  Google Scholar 

  34. J. Park et al., Quantized conductive filament formed by limited Cu source in sub-5nm era, in IEEE International Electron Devices Meeting Technical Digest (2011), pp. 64–67

    Google Scholar 

  35. C.M. Compagnoni et al., First evidence for injection statistics accuracy limitations in NAND Flash constant-current Fowler-Nordheim programming, in IEEE International Electron Devices Meeting Technical Digest (2007), pp. 165–168

    Google Scholar 

  36. A. Calderoni, S. Sills, N. Ramaswamy et al., Performance comparison of O-based and Cu-based ReRAM for high-density applications, in International Memory Workshop (2014)

    Google Scholar 

  37. N. Verma, A.P. Chandrakasan, A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid State Circuits 43(1), 141–149 (2008)

    Article  Google Scholar 

  38. T.H. Kim, J. Liu, C.H. Kim, A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode. IEEE J. Solid State Circuits 44(6), 1785–1795 (2009)

    Article  Google Scholar 

  39. B. Zhai, S. Hanson, D. Blaauw, et al., A variation-tolerant Sub-200 mV 6-T subthreshold SRAM. IEEE J. Solid State Circuits 43(10), 2338–2348 (2008)

    Article  Google Scholar 

  40. I.J. Chang, J.J. Kim, S.P. Park, et al., A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J. Solid State Circuits 44(2), 650–658 (2009)

    Article  Google Scholar 

  41. Y. Morita, H. Fujiwara, H. Noguchi et al., An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment, in Symposium on VLSI Circuits Digest Technical Papers (2007), pp. 256–257

    Google Scholar 

  42. J.H. Chen, L.T. Clark, T.H. Chen, An ultra-low-power memory with a subthreshold power supply voltage. IEEE J. Solid State Circuits 41(10), 2344–2353 (2006)

    Article  Google Scholar 

  43. K. Takeuchi, Y. Kameda, S. Fujimura, et al., A 56-nm CMOS 99-mm2 8-Gb multi-level NAND flash memory with 10-MB/s program throughput. IEEE J. Solid State Circuits 42(1), 219–232 (2007)

    Article  Google Scholar 

  44. D.S. Byeon, S.S. Lee, Y.H. Lim et al., An 8 Gb multi-level NAND flash memory with 63 nm STI CMOS process technology, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers, vol 1 (2005), pp. 46–47

    Google Scholar 

  45. S.H. Chang, S.K Lee, S.J. Park et al., A 48 nm 32 Gb 8-level NAND flash memory with 5.5 MB/s program throughput, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (2009), pp. 240–241,241a

    Google Scholar 

  46. G.G. Marotta, A. Macerola, A. d’Alessandro et al., A 3bit/cell 32 Gb NAND flash memory at 34 nm with 6 MB/s program throughput and with dynamic 2b/cell blocks configuration mode for a program throughput increase up to 13 MB/s, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (2010), pp. 444–445

    Google Scholar 

  47. K. Kobayashi, T. Nakayama, Y. Miyawaki, et al., A high-speed parallel sensing architecture for multi-megabit flash E2PROMs. IEEE J. Solid State Circuits 25(1), 79–83 (1990)

    Article  Google Scholar 

  48. M. Bauer, R. Alexis, G. Atwood et al., A multilevel-cell 32 Mb flash memory, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (1995), pp. 132–133

    Google Scholar 

  49. R. Micheloni, L. Crippa, M. Sangalli, et al., The flash memory read path: building blocks and critical aspects. IEEE Proc 91(4), 537–553 (2003)

    Article  Google Scholar 

  50. B.Q. Le, M. Achter, C.G. Chng, et al., Virtual-ground sensing techniques for a 49-ns/200-MHz access time 1.8-V 256-Mb 2-bit-per-cell flash memory. IEEE J. Solid State Circuits 39(11), 2014–2023 (2004)

    Article  Google Scholar 

  51. T. Ogura, M. Hosoda, T. Ogawa, et al., A 1.8-V 256-Mb multilevel cell NOR flash memory with BGO function. IEEE J. Solid State Circuits 41(11), 2589–2600 (2006)

    Article  Google Scholar 

  52. C.C. Chung, H.C. Lin, Y.T. Lin, A multilevel read and verifying scheme for bi-NAND flash memories. IEEE J. Solid State Circuits 42(5), 1180–1188 (2007)

    Article  Google Scholar 

  53. M.F. Chang, S.J. Shen, A process variation tolerant embedded split-gate flash memory using pre-stable current sensing scheme. IEEE J. Solid State Circuits 44(3), 987–994 (2009)

    Article  Google Scholar 

  54. M.F. Chang, C.W. Wu, C.C. Kuo, et al., A low-voltage bulk-drain-driven read scheme for Sub-0.5 V 4 Mb 65 nm logic-process compatible embedded resistive RAM (ReRAM) macro. IEEE J. Solid State Circuits 48(9), 2250–2259 (2013)

    Article  Google Scholar 

  55. M.F. Chang, J.J. Wu, T.F. Chien et al., 19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (2014), pp. 332–333

    Google Scholar 

  56. Y.D. Chih, C.H. Wang, C.H. Kuo, Reference cell circuit for split gate flash memory, U.S. Patent 6,396,740 (2002)

    Google Scholar 

  57. Datasheet, “sfc 0064_08b9_he” Taiwan Semiconductor Manufacturing Company (TSMC) (2001)

    Google Scholar 

  58. Datasheet, AF64K8AF25, v1.0 1st Silicon Sdn. Bhd. (X-Fab) (2005)

    Google Scholar 

  59. J. Javanifard, T. Tanadi, H. Giduturi et al., A 45nm self-aligned-contact process 1Gb NOR flash with 5MB/s program speed, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (2008), pp. 424–624

    Google Scholar 

  60. M.-F. Chang, S.-S. Sheu, K.-F. Lin, et al., A high-speed 7.2-ns read-write random access 4-Mb embedded resistive RAM (ReRAM) macro using process-variation-tolerant current-mode read schemes. IEEE J. Solid State Circuits 48, 878–891 (2013)

    Article  Google Scholar 

  61. M. Jefremow, T. Kern, W. Allers et al., Time-differential sense amplifier for sub-80 mV bitline voltage embedded STT-MRAM in 40 nm CMOS, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (2013), pp. 216–217

    Google Scholar 

  62. T. Kono, T. Ito, T. Tsuruda, T. Nishiyama, T. Nagasawa, T. Ogawa, Y. Kawashima, H. Hidaka, T. Yamauchi, 40-nm embedded split-gate MONOS (SG-MONOS) flash macros for automotive with 160-MHz random access for code and endurance over 10 M cycles for data at the junction temperature of 170°C. IEEE J. Solid State Circuits 49, 154–166 (2013)

    Article  Google Scholar 

  63. M.F. Chang, Y.F. Lin, Y.C. Liu, et al., An asymmetric-voltage-biased current-mode sensing scheme for fast-read embedded flash macros. IEEE J. Solid State Circuits 50(9), 2188–2198 (2015)

    Article  Google Scholar 

  64. S.N. Wooters, B.H. Calhoun, T.N. Blalock, et al., An energy-efficient subthreshold level converter in 130-nm CMOS. IEEE Trans Circuits Syst II Exp Briefs 57(4), 290–294 (2010)

    Article  Google Scholar 

  65. I.J. Chang, J.J. Kim, K. Kim, et al., Robust level converter for sub-threshold/super-threshold operation:100 mV to 2.5 V. IEEE Trans Very Larg Scale Integr (VLSI) Syst 19(8), 1429–1437 (2011)

    Article  Google Scholar 

  66. S. Lütkemeier, U. Ruckert, et al., A subthreshold to above-threshold level shifter comprising a Wilson current mirror. IEEE Trans Circuits Syst II Exp Briefs 57(9), 721–724 (2010)

    Article  Google Scholar 

  67. M.F. Chang et al., A low-power subthreshold-to-superthreshold level-shifter for sub-0.5V embedded resistive RAM (ReRAM) macro in ultra low-voltage chips, in 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Ishigaki (2014), pp. 695–698

    Google Scholar 

  68. D. Halupka, S. Huda, W. Song et al., Negative-resistance read and write schemes for STT-MRAM in 0.13μm CMOS, in IEEE International Solid-State Circuits Conference (ISSCC) Digest Technical Papers (2010), pp. 256–257

    Google Scholar 

  69. X.Y. Xue, W.X. Jian, J.G. Yang, et al., A 0.13 μm 8 Mb logic-based CuxSiyO ReRAM with self-adaptive operation for yield enhancement and power reduction. IEEE J. Solid State Circuits 48(5), 1315–1322 (2013)

    Article  Google Scholar 

  70. M.-F. Chang et al., Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC, in Proceedings 2011 IEEE Asia South Pacific Design Automation Conference (ASP-DAC) (2011), pp. 197–203

    Google Scholar 

  71. M.-F. Chang et al., Challenges and trends of resistive memory (Memristor) based circuits for 3D-IC applications, in 2011 Symposium on Solid State Devices and Materials (SSDM) (2011), pp. 1053–1054

    Google Scholar 

  72. M.-F. Chang et al., Challenges and trends in low-power 3D die-stacked IC designs using RAM, memristor logic, and resistive memory (ReRAM), in The IEEE 9th International Conference on ASIC (ASICON) (2011), pp. 327–330

    Google Scholar 

  73. P.-F. Chiu, M.-F. Chang et al., A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications, in Symposium on VLSI Circuits Digest Technical Papers (2010), pp. 229–230

    Google Scholar 

  74. H. Ohno et al., Magnetic tunnel junction for nonvolatile CMOS logic, in IEEE International Electron Devices Meeting (IEDM) (2010), pp. 9.4.1–9.4.4

    Google Scholar 

  75. S.-M. Yoon et al., Phase-change-driven programmable switch for nonvolatile logic applications. IEEE Electron Device Lett 30(4), 371–373 (2009)

    Article  Google Scholar 

  76. Y. Liu et al., A 65nm ReRAM-enabled nonvolatile processor with 6× reduction in restore time and 4× higher clock frequency using adaptive data retention and self-write-termination nonvolatile logic, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2016), pp. 84–86

    Google Scholar 

  77. M. Fliesler et al., A 15ns 4Mb NVSRAM in 0.13u SONOS technology, in Non-Volatile Semiconductor Memory Workshop (NVSMW) (2008), pp. 83–86

    Google Scholar 

  78. N. Sakimura et al., Nonvolatile magnetic Flip-flop for standby-power-free SoCs. IEEE J. Solid State Circuits 44(8), 2244–2250 (2009)

    Article  Google Scholar 

  79. T. Miwa et al., NV-SRAM: A nonvolatile SRAM with backup ferroelectric capacitors. IEEE J. Solid State Circuits 36(3), 522–527 (2001)

    Article  Google Scholar 

  80. M. Takata et al., Nonvolatile SRAM based on phase change, in Non-Volatile Semiconductor Memory Workshop (NVSMW) (2006), pp. 95–96

    Google Scholar 

  81. W. Wang et al., Nonvolatile SRAM cell, in International Electron Device Meeting (IEDM) (2006), pp. 1–4

    Google Scholar 

  82. M.-F. Chang et al., Endurance-aware circuit designs of nonvolatile logic and nonvolatile SRAM using resistive memory (Memristor) device, in 2012 IEEE Asia South Pacific Design Automation Conference (ASP-DAC) (2012), pp. 329–334

    Google Scholar 

  83. P.-F. Chiu, M.-F. Chang, C.-W. Wu, C.-H. Chuang, S.-S. Sheu, Y.-S. Chen, M.-J. Tsai, Low store energy, low VDDmin, 8T2R nonvolatile latch and SRAM with vertical-stacked resistive memory (Memristor) devices for low power mobile applications. IEEE J Solid State Circ 47(6), 1483–1496 (2012)

    Article  Google Scholar 

  84. E. Seevinck, F.J. List, J. Lohstroh, Static-noise margin analysis of MOS SRAM cells. IEEE J. Solid State Circuits 22(5), 748–754 (1987)

    Article  Google Scholar 

  85. L.-F. Chen, A 7T SRAM Circuit Design for Low Voltage Applications., M.S. thesis (Institute of Electronics Engineering, National Tsing Hua University, Hsinchu, 2011)

    Google Scholar 

  86. J.-J. Wu et al., A large σVTH/VDD tolerant ZigZag 8T SRAM with area-efficient decoupled differential sensing and fast write-back scheme. IEEE J. Solid State Circuits 46(4), 815–827 (2011)

    Article  Google Scholar 

  87. W. Wang et al., Nonvolatile SRAM cell, in International Electron Devices Meeting (IEDM) Technical Digest Papers (2006), pp. 27–30

    Google Scholar 

  88. S. Yamamoto et al., Nonvolatile SRAM (NV-SRAM) Using Functional MOSFET Merged with Resistive Switching Devices, in IEEE Custom Integrated Circuits Conference (CICC) Technical Digest Papers (2009), pp. 531–534

    Google Scholar 

  89. S.P. Lo et al., A ReRAM-based Single-NVM nonvolatile flip-flop with reduced stress-time and write-power against wide distribution in write-time by using self-write-termination scheme for nonvolatile processors in IoT Era, in IEEE International Electron Devices Meeting (IEDM) Digest Technical Papers (2016), pp. 420–423

    Google Scholar 

  90. M.F. Chang et al., Embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2014), pp. 332–333

    Google Scholar 

  91. M. Qazi, A. Amerasekera, A. P. Chandrakasan, A 3.4 pJ FeRAMenabled D flip-flop in 0.13μm CMOS for nonvolatile processing in digital systems, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2013), pp. 192–193

    Google Scholar 

  92. S.C. Bartling et al., An 8MHz 75μA/MHz zero-leakage non-volatile logic-based Cortex-M0 MCU SoC exhibiting 100% digital state retention at VDD=0V with <400ns wakeup and sleep transitions, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2013), pp. 432–433

    Google Scholar 

  93. N. Sakimura et al., A 90nm 20MHz fully nonvolatile microcontroller for standby-power-critical applications, in IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2014), pp. 184–185

    Google Scholar 

Download references

Acknowledgements

The authors would like to thank MXIC, TSMC, and ITRI for their supports.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Meng-Fan Chang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2019 Springer International Publishing AG, part of Springer Nature

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Khwa, WS., Lu, D., Dou, CM., Chang, MF. (2019). Emerging NVM Circuit Techniques and Implementations for Energy-Efficient Systems. In: Topaloglu, R., Wong, HS. (eds) Beyond-CMOS Technologies for Next Generation Computer Design . Springer, Cham. https://doi.org/10.1007/978-3-319-90385-9_4

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-90385-9_4

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-90384-2

  • Online ISBN: 978-3-319-90385-9

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics