Skip to main content

Formal Approaches to Hardware Trust Verification

  • Chapter
  • First Online:
The Hardware Trojan War

Abstract

Trust establishment in semiconductor designs has become a major challenge for design houses and government since several countries and companies are involved during different stages of a design life cycle. The variety of vendors increases the risk of security vulnerabilities within the supply chain of integrated circuits. Hardware Trojans are malfunctions which can be inserted during any stage of design such as defining specification, designing intellectual properties (e.g., high-level models, RTL modules, and gate-level netlists), layout extraction, and manufacturing. A triggered hardware Trojan can severely affect the integrity and security of the circuit by causing system failures such as deadlock, denial of service, or granting an unauthorized access to secret information. Hardware Trojans are designed in a way that they are inactive most of the time and can be triggered with a very rare input sequence. Therefore, using simulation-based validation is not effective to detect potential Trojans in a design because of the Trojan’s stealthy nature. In other words, the rare trigger conditions may not be tested during validation time, and a Trojan-inserted circuit cannot be differentiated from a Trojan-free one. From the security perspective, a useful validation approach is the one that can prove the correct functionality of a design, nothing more nothing less. Formal methods are promising to prove the security properties; however, the conventional formal methods suffer from scalability concerns. There are several scalable formal approaches to detect hardware Trojans based on satisfiability solvers, model checkers, theorem provers, symbolic algebra, and combination of them. In this chapter, we discuss hardware trust validation techniques based on formal methods.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 109.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 159.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. J. Aarestad, D. Acharyya, R. Rad, J. Plusquellic, Detecting trojans through leakage current analysis using multiple supply pads. IEEE Trans. Inf. Forensics Secur. 5(4),893–904 (2010). doi: https://doi.org/10.1109/TIFS.2010.2061228, ISSN:1556-6013

  2. P. Behnam, B. Alizadeh, In-circuit mutation-based automatic correction of certain design errors using sat mechanisms, in 2015 IEEE 24th Asian Test Symposium (ATS) (IEEE, 2015), pp. 199–204

    Google Scholar 

  3. P. Behnam, B. Alizadeh, Z. Navabi, Automatic correction of certain design errors using mutation technique, in 2014 19th IEEE European Test Symposium (ETS) (IEEE, 2014), pp. 1–2

    Google Scholar 

  4. S. Bhunia, M.S. Hsiao, M. Banga, S. Narasimhan, Hardware trojan attacks: threat analysis and countermeasures. Proc. IEEE 102(8), 1229–1247 (2014)

    Article  Google Scholar 

  5. A. Biere, A. Cimatti, E.M. Clarke, O. Strichman, Y. Zhu, Bounded model checking. Adv. comput. 58, 117–148 (2003)

    Article  Google Scholar 

  6. E. Biham, A. Shamir, Differential cryptanalysis of des-like cryptosystems. J. Cryptol. 4(1), 3–72 (1991)

    Article  MathSciNet  MATH  Google Scholar 

  7. B. Buchberger, Some properties of gröbner-bases for polynomial ideals. ACM SIGSAM Bull. 10(4), 19–24 (1976)

    Article  MathSciNet  Google Scholar 

  8. B. Buchberger, A criterion for detecting unnecessary reductions in the construction of a groebner bases, in EUROSAM, 1979

    Google Scholar 

  9. R.S. Chakraborty, F. Wolf, C. Papachristou, S. Bhunia, Mero: a statistical approach for hardware Trojan detection, in International Workshop on Cryptographic Hardware and Embedded Systems (CHES’09), 2009, pp. 369–410

    Google Scholar 

  10. A. Cimatti, E. Clarke, F. Giunchiglia, M. Roveri, Nusmv: a new symbolic model checker. Int. J. Softw. Tools Technol. Transfer 2(4), 410–425 (2000)

    Article  MATH  Google Scholar 

  11. E.M. Clarke, E.A. Emerson, A.P. Sistla, Automatic verification of finite-state concurrent systems using temporal logic specifications. ACM Trans. Program. Lang. Syst. (TOPLAS) 8(2), 244–263 (1986)

    Google Scholar 

  12. D. Cox, J. Little, D. O’Shea, Ideals, Varieties, and Algorithms (Springer, New York, 1997)

    MATH  Google Scholar 

  13. F. Farahmandi, B. Alizadeh, Grobner basis based formal verification of large arithmetic circuits using gaussian elimination and cone-based polynomial extraction, in Microprocessor and Microsystems – Embedded Hardware Design, 2015, pp. 83–96

    Google Scholar 

  14. F. Farahmandi, P. Mishra, Automated test generation for debugging arithmetic circuits, in 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE) (IEEE, 2016), pp. 1351–1356

    Google Scholar 

  15. F. Farahmandi, B. Alizadeh, Z. Navabi, Effective combination of algebraic techniques and decision diagrams to formally verify large arithmetic circuits, in 2014 IEEE Computer Society Annual Symposium on VLSI (IEEE, 2014), pp. 338–343

    Google Scholar 

  16. F. Farahmandi, Y. Huang, P. Mishra, Trojan localization using symbolic algebra, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2017), pp. 591–597

    Google Scholar 

  17. N. Fern, S. Kulkarni, K.-T.T. Cheng, Hardware Trojans hidden in RTL don’t cares – automated insertion and prevention methodologies, in 2015 IEEE International Test Conference (ITC) (IEEE, 2015), pp. 1–8

    Google Scholar 

  18. N. Fern, I. San, C.K. Koç, K.-T.T. Cheng, Hardware Trojans in incompletely specified on-chip bus systems, in Proceedings of the 2016 Conference on Design, Automation & Test in Europe (EDA Consortium, 2016), pp. 527–530

    Google Scholar 

  19. N. Fern, I. San, K.-T.T. Cheng, Detecting hardware trojans in unspecified functionality through solving satisfiability problems, in 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC) (IEEE, 2017), pp. 598–504

    Google Scholar 

  20. X. Guo, R.G. Dutta, Y. Jin, F. Farahmandi, P. Mishra, Pre-silicon security verification and validation: a formal perspective, in ACM/IEEE Design Automation Conference (DAC), 2015

    Google Scholar 

  21. X. Guo, R.G. Dutta, P. Mishra, Y. Jin, Scalable SoC trust verification using integrated theorem proving and model checking, in 2016 IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (IEEE, 2016), pp. 124–129

    Google Scholar 

  22. S.R. Hasan, C.A. Kamhoua, K.A. Kwiat, L. Njilla, Translating circuit behavior manifestations of hardware Trojans using model checkers into run-time trojan detection monitors, in IEEE Asian Hardware-Oriented Security and Trust (AsianHOST) (IEEE, 2016), pp. 1–6

    Google Scholar 

  23. Y. Huang, P. Mishra, Reliability and energy-aware cache reconfiguration for embedded systems, in 2016 17th International Symposium on Quality Electronic Design (ISQED) (IEEE, 2016) pp. 313–318

    Google Scholar 

  24. Y. Huang, P. Mishra, Test generation for detection of malicious parametric variations, in Hardware IP Security and Trust (Springer International Publishing, Cham, 2017), pp. 325–340

    Book  Google Scholar 

  25. Y. Huang, P. Mishra, Trace buffer attack on the AES cipher. J. Hardw. Syst. Secur. (HaSS) 1(1), 68–84 (2017). Springer

    Google Scholar 

  26. Y. Huang, A. Chattopadhyay, P. Mishra, Trace buffer attack: security versus observability study in post-silicon debug, in 2015 IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), 2015, pp. 355–360

    Google Scholar 

  27. Y. Huang, S. Bhunia, P. Mishra, MERS: statistical test generation for side-channel analysis based Trojan detection, in Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security (CCS’16) (ACM, New York, 2016), pp. 130–141

    Google Scholar 

  28. Y. Jin, EDA tools trust evaluation through security property proofs, in Design, Automation and Test in Europe Conference and Exhibition (DATE), 2014, pp. 1–4

    Google Scholar 

  29. Y. Jin, Y. Makris, Hardware Trojan detection using path delay fingerprint, in IEEE International Workshop on Hardware-Oriented Security and Trust, 2008, pp. 51–57

    Google Scholar 

  30. Y. Jin, Y. Makris, Proof carrying-based information flow tracking for data secrecy protection and hardware trust, in VLSI Test Symposium (VTS), 2012, pp. 252–257

    Google Scholar 

  31. Y. Jin, B. Yang, Y. Makris, Cycle-accurate information assurance by proof-carrying based signal sensitivity tracing, in IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), 2013, pp. 99–106

    Google Scholar 

  32. H.-M. Koo, P. Mishra, Test generation using sat-based bounded model checking for validation of pipelined processors, in Proceedings of the 16th ACM Great Lakes Symposium on VLSI (ACM, 2006), pp. 362–365

    Google Scholar 

  33. G.C. Necula, Proof-carrying code, in Proceedings of the 24th ACM SIGPLAN-SIGACT Symposium on Principles of Programming Languages (ACM, 1997), pp. 106–119

    Google Scholar 

  34. X.T. Ngo, I. Exurville, S. Bhasin, J.L. Danger, S. Guilley, Z. Najm, J.B. Rigaud, B. Robisson, Hardware trojan detection by delay and electromagnetic measurements, in 2015 Design, Automation Test in Europe Conference Exhibition (DATE), 2015, pp. 782–787

    Google Scholar 

  35. Y. Qiu, H. Li, T. Wang, B. Liu, Y. Gao, X. Li, Property coverage analysis based trustworthiness verification for potential threats from EDA tools, in 2016 IEEE 25th Asian Test Symposium (ATS) (IEEE, 2016), pp. 43–48

    Google Scholar 

  36. J. Rajendran, V. Vedula, R. Karri, Detecting malicious modifications of data in third-party intellectual property cores, in ACM/IEEE Design Automation Conference (DAC), 2015, pp. 112–118

    Google Scholar 

  37. J. Rajendran, A.M. Dhandayuthapany, V. Vedula, R. Karri, Formal security verification of third party intellectual property cores for information leakage, in 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID) (IEEE, 2016), pp. 547–552

    Google Scholar 

  38. M. Rathmair, F. Schupfer, Hardware Trojan detection by specifying malicious circuit properties, in 2013 IEEE 4th International Conference on Electronics Information and Emergency Communication (ICEIEC) (IEEE, 2013), pp. 317–320

    Google Scholar 

  39. E. Sadredini, M. Najafi, M. Fathy, Z. Navabi, BILBO-friendly hybrid BIST architecture with asymmetric polynomial reseeding, in 2012 16th CSI International Symposium on Computer Architecture and Digital Systems (CADS) (IEEE, 2012), pp. 145–149

    Google Scholar 

  40. E. Sadredini, R. Rahimi, P. Foroutan, M. Fathy, Z. Navabi, An improved scheme for pre-computed patterns in core-based SoC architecture, in 2016 IEEE East-West Design & Test Symposium (EWDTS) (IEEE, 2016), pp. 1–6

    Google Scholar 

  41. S. Saha, R. Chakraborty, S. Nuthakki, Anshul, D. Mukhopadhyay, Improved test pattern generation for hardware Trojan detection using genetic algorithm and boolean satisfiability, in Cryptographic Hardware and Embedded Systems (CHES), 2015, pp. 577–596

    Google Scholar 

  42. A. Sayed-Ahmed, D. Große, U. Kühne, M. Soeken, R. Drechsler, Formal verification of integer multipliers by combining gröbner basis with logic reduction, in Design Automation and Test in Europe Conference(DATE), 2016, pp. 1–6

    Google Scholar 

  43. Synopsys, Formality, 2015 http://www.synopsys.com/Tools/Verification/FormalEquivalence/Pages/Formality.aspx

  44. S. Vasudevan, E.A. Emerson, J.A. Abraham, Efficient model checking of hardware using conditioned slicing. Electron. Notes Theor. Comput. Sci. 128(6), 279–294 (2005)

    Article  MATH  Google Scholar 

  45. B. Yang, K. Wu, R. Karri, Scan based side channel attack on dedicated hardware implementations of data encryption standard, in ITC, 2004, pp. 339–344

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Farimah Farahmandi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2018 Springer International Publishing AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Farahmandi, F., Huang, Y., Mishra, P. (2018). Formal Approaches to Hardware Trust Verification. In: Bhunia, S., Tehranipoor, M. (eds) The Hardware Trojan War. Springer, Cham. https://doi.org/10.1007/978-3-319-68511-3_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-68511-3_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-68510-6

  • Online ISBN: 978-3-319-68511-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics