Skip to main content

Soft Timing Closure for Soft Programmable Logic Cores: The ARGen Approach

  • Conference paper
  • First Online:
Applied Reconfigurable Computing (ARC 2017)

Part of the book series: Lecture Notes in Computer Science ((LNTCS,volume 10216))

Included in the following conference series:

Abstract

Reconfigurable cores support post-release updates which shortens time-to-market while extending circuits’ lifespan. Reconfigurable cores can be provided as hard cores (ASIC) or soft cores (RTL). Soft reconfigurable cores outperform hard reconfigurable cores by preserving the ASIC synthesis flow, at the cost of lowering scalability but also exacerbating timing closure issues. This article tackles these two issues and introduces the ARGen generator that produces scalable soft reconfigurable cores. The architectural template relies on injecting flip-flops into the interconnect, to favor easy and accurate timing estimation. The cores are compliant with the academic standard for place and route environment, making ARGen a one stop shopping point for whoever needs exploitable soft reconfigurable cores.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Menta - embedded Programmable Logic. http://www.menta-efpga.com

  2. Nanoxplore. http://www.nanoxplore.com

  3. ADICSYS - eFPGA (embedded FPGA) IP. http://www.adicsys.com

  4. Abramovici, M., Bradley, P., Dwarakanath, K.N., Levin, P., Memmi, G., Miller, D.: In: Sentovich, E. (ed.) Proceedings of DAC 2006, pp. 7–12. ACM (2006)

    Google Scholar 

  5. Wilton, S.J., Kafafi, N., Wu, J.C., Bozman, K.A., Aken’Ova, V.O., Saleh, R.: Design considerations for soft embedded programmable logic cores. IEEE J. Solid-State Circ. 40(2), 485–497 (2005)

    Article  Google Scholar 

  6. Kuon, I., Egier, A., Rose, J.: Design, layout and verification of an FPGA using automated tools. In: Schmit, H., Wilton, S.J.E. (eds.) FPGA 2005, pp. 215–226. ACM (2005). http://doi.acm.org/10.1145/1046192.1046220

  7. Voros, N., Rosti, A., Hübner, M. (eds.): Dynamic System Reconfiguration in Heterogeneous Platforms. LNEE, vol. 40. Springer, Heidelberg (2009)

    Google Scholar 

  8. Kafafi, N., Bozman, K., Wilton, S.J.: Architectures and algorithms for synthesizable embedded programmable logic cores. In: Proceedings of the 2003 ACM/SIGDA Eleventh International Symposium on Field Programmable Gate Arrays, pp. 3–11. ACM (2003)

    Google Scholar 

  9. Ova, V.A., Lemieux, G., Saleh, R.: An improved “soft” eFPGA design and implementation strategy. In: Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, CICC 2005, pp. 179–182. IEEE (2005). http://dx.doi.org/10.1109/CICC.2005.1568636

  10. Betz, V., Rose, J.: VPR: a new packing, placement and routing tool for FPGA research. In: Luk, W., Cheung, P.Y.K., Glesner, M. (eds.) FPL 1997. LNCS, vol. 1304, pp. 213–222. Springer, Heidelberg (1997). doi:10.1007/3-540-63465-7_226

    Chapter  Google Scholar 

  11. Wiersema, T., Bockhorn, A., Platzner, M.: Embedding FPGA overlays into configurable systems-on-chip: ReconOS meets ZUMA. In: 2014 International Conference on ReConFigurable Computing and FPGAs (ReConFig), pp. 1–6, December 2014

    Google Scholar 

  12. Leiserson, C.E., Saxe, J.B.: Retiming synchronous circuitry. Algorithmica 6, 5–35 (1991)

    Article  MathSciNet  MATH  Google Scholar 

  13. University of California Berkeley. (1992) Berkeley logic interchange format(blif). http://vlsi.colorado.edu/~vis/blif.ps

  14. Jamieson, P., Kent, K.B., Gharibian, F., Shannon, L.: Odin 2 - an open-source verilog hdl synthesis tool for CAD research. In: FCCM 2010 (2010)

    Google Scholar 

  15. Brayton, R., Mishchenko, A.: ABC: an academic industrial-strength verification tool. In: Touili, T., Cook, B., Jackson, P. (eds.) CAV 2010. LNCS, vol. 6174, pp. 24–40. Springer, Heidelberg (2010). doi:10.1007/978-3-642-14295-6_5

    Chapter  Google Scholar 

  16. Elmore, W.C.: The transient response of damped linear networks with particular regard to wideband amplifiers. J. Appl. Phys. 19(1), 55–63 (1948)

    Article  Google Scholar 

  17. Sidhu, R., Prasanna, V.K.: Fast regular expression matching using FPGAs. In: FCCM, ser. FCCM 2001, pp. 227–238. IEEE Computer Society (2001)

    Google Scholar 

Download references

Acknowledgement

This work has been supported by the French National Research Agency under the contracts ANR-11-INSE-015 (ARDyT) and ANR-A0-AIRT-07 (B-Com).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Loïc Lagadec .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this paper

Cite this paper

Bollengier, T., Lagadec, L., Najem, M., Le Lann, JC., Guilloux, P. (2017). Soft Timing Closure for Soft Programmable Logic Cores: The ARGen Approach. In: Wong, S., Beck, A., Bertels, K., Carro, L. (eds) Applied Reconfigurable Computing. ARC 2017. Lecture Notes in Computer Science(), vol 10216. Springer, Cham. https://doi.org/10.1007/978-3-319-56258-2_9

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-56258-2_9

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-56257-5

  • Online ISBN: 978-3-319-56258-2

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics