Skip to main content

On-Chip Non-volatile Memory for Ultra-Low Power Operation

  • Chapter
  • First Online:
Enabling the Internet of Things

Abstract

This chapter addresses trends and challenges in the development of on-chip (embedded) non-volatile memory (NVM) for ultra-low power operation. Various NVM technologies have been introduced, including Flash, OTP/MTP, resistive RAM, and phase-change memory (PCM). In the following, we examine some of the challenges in the design of circuits used for read and write operations. Future trends in ultra-low-power NVM are also discussed.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 109.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 139.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 199.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  • B.J. Ahn, J.H. Sone, J.W. Kim, I.H. Choi, D.M. Kim, A simple and efficient self-limiting erase scheme for high performance split-gate flash memory cells. IEEE Electron Device Lett. 19, 438–440 (1998)

    Article  Google Scholar 

  • I. Arsovski, T. Hebig, D. Dobson et al., A 32 nm 0.58-fJ/bit/search 1-GHz ternary content addressable memory compiler using silicon-aware early-predict late-correct sensing with embedded deep-trench capacitor noise mitigation. IEEE J. Solid State Circuits 48(4), 932–939 (2013)

    Article  Google Scholar 

  • S. Atsumi, M. Kuriyama, A. Umezawa et al., A 16-Mb Flash EEPROM with a new self-data-refresh scheme for a sector erase operation. IEEE J. Solid State Circuits 29(4), 461–468 (1994)

    Article  Google Scholar 

  • N. Banno, M. Tada, T. Sakamoto, et al., A fast and low-voltage Cu complementary-atom- switch 1 Mb array with high-temperature retention, IEEE Symposium on VLSI Technology (VLSIT) Dig. Tech. Papers (2014), pp. 1–2

    Google Scholar 

  • M. Bauer, R. Alexis, G. Atwood, et al., A multilevel-cell 32 Mb flash memory, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers, 15–17 Feb. 1995 (1995), pp.132–133

    Google Scholar 

  • M. Boniardi, A. Redaelli, C. Cupeta, et al., Optimization metrics for Phase Change Memory (PCM) cell architectures, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2014), pp. 29.1.1–29.1.4

    Google Scholar 

  • D.S. Byeon, S.S. Lee, Y.H. Lim et al., An 8 Gb multi-level NAND flash memory with 63 nm STI CMOS process technology. ISSCC Dig. Tech. Pap. 1, 46–47 (2005)

    Google Scholar 

  • M.F. Chang, S.J. Shen, A process variation tolerant embedded split-gate flash memory using pre-stable current sensing scheme. IEEE J. Solid-State Circuits 44(3), 987–994 (2009)

    Article  Google Scholar 

  • M.-F. Chang, S.-M. Yang, Analysis and reduction of supply noise fluctuations induced by embedded ROM. IEEE Trans. VLSI Syst. 17(6), 758–769 (2009)

    Article  Google Scholar 

  • I.J. Chang, J.J. Kim, S.P. Park et al., A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS. IEEE J. Solid State Circuits 44(2), 650–658 (2009a)

    Article  Google Scholar 

  • S.H. Chang, S.K. Lee, S.J. Park, et al., A 48 nm 32 Gb 8-level NAND flash memory with 5.5 MB/s program throughput, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2009), pp. 240–241, 241a

    Google Scholar 

  • M.-F. Chang, P.-F. Chiu, S.-S. Sheu, Circuit design challenges in embedded memory and resistive RAM (RRAM) for mobile SoC and 3D-IC, in Proceedings of the IEEE Asia and South Pacific Design Automation Conference (ASP-DAC) (2011), pp. 197–203

    Google Scholar 

  • M.-F. Chang, P.-F. Chiu, W.-C. Wu, C.-H. Chuang, S.-S. Sheu, Challenges and trends in low-power 3D die-stacked IC designs using RAM, memristor logic, and resistive memory (ReRAM), in IEEE International Conference on ASIC (ASICON) (2011), pp. 299–302

    Google Scholar 

  • I.J. Chang, J.J. Kim, K. Kim et al., Robust level converter for sub-threshold/super-threshold operation: 100 mV to 2.5 V. IEEE TransactionsVLSI Syst. 19(8), 1429–1437 (2011c)

    Article  Google Scholar 

  • M.-F. Chang, C.-H. Chuang, M.-P. Chen, et al. Endurance-aware circuit designs of nonvolatile logic and nonvolatile SRAM using resistive memory (memristor) device, in Proceedings of the IEEE Asia and South Pacific Design Automation Conference (2012), pp. 329–334

    Google Scholar 

  • M.F. Chang, C.W. Wu, C.C. Kuo, et al., A 0.5 V 4 Mb logic-process compatible embedded resistive RAM (ReRAM) in 65 nm CMOS using low-voltage current-mode sensing scheme with 45 ns random read time, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2012), pp. 434–436

    Google Scholar 

  • M.-F. Chang, S.-S. Sheu, K.-F. Lin, et al., A high-speed 7.2-ns read-write random access 4-Mb embedded Resistive RAM (ReRAM) macro using process-variation- tolerant current-mode read schemes, IEEE Journal of Solid-State Circuits (2013), pp. 878–891

    Google Scholar 

  • M.F. Chang, S.J. Shen, C.C. Liu et al., An offset-tolerant fast-random-read current-sampling-based sense amplifier for small-cell-current nonvolatile memory. IEEE J. Solid State Circuits 48(3), 864–877 (2013b)

    Article  Google Scholar 

  • M.F. Chang, C.W. Wu, C.C. Kuo et al., A low-voltage bulk-drain-driven read scheme for Sub-0.5 V 4 Mb 65 nm logic-process compatible embedded resistive RAM (ReRAM) macro. J. Solid-State Circuits 48(9), 2250–2259 (2013c)

    Article  Google Scholar 

  • M.-F. Chang, C.-C. Kuo, S.-S. Sheu, et al. Area-efficient embedded Resistive RAM (ReRAM) macros using logic-process Vertical-Parasitic-BJT (VPBJT) switches and read-disturb-free temperature-aware current-mode read scheme, IEEE J. Solid-State Circuits (JSSC) (2014), pp. 908–916

    Google Scholar 

  • M.-F. Chang, A. Lee, C.-C. Kuo, et al. Challenges at circuit designs for resistive-type nonvolatile memory and nonvolatile logics in mobile and cloud applications, in Proceedings of the IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT) (2014), pp. 1–4

    Google Scholar 

  • M.F. Chang, J.J. Wu, T.F. Chien, et al., 19.4 embedded 1 Mb ReRAM in 28 nm CMOS with 0.27-to-1 V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 332–333

    Google Scholar 

  • M.F. Chang et al., A low-power subthreshold-to-superthreshold level-shifter for sub-0.5V embedded resistive RAM (ReRAM) macro in ultra low-voltage chips, in 2014 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), Ishigaki, 2014, pp. 695–698

    Google Scholar 

  • M.F. Chang, A. Lee, P.C. Chen et al., Challenges and circuit techniques for energy-efficient on-chip nonvolatile memory using memristive devices. IEEE J. Emerg. Select. Top. Circuits Syst. 5(2), 183–193 (2015a)

    Article  Google Scholar 

  • M.-F. Chang, A. Lee, C.-C. Lin, et al. Read circuits for resistive memory (ReRAM) and memristor-based nonvolatile Logics, in Proceedings of the IEEE Asia and South Pacific Design Automation Conference (ASP-DAC) (2015), pp. 569–574

    Google Scholar 

  • M.F. Chang, Y.F. Lin, Y.C. Liu et al., An asymmetric-voltage-biased current-mode sensing scheme for fast-read embedded flash macros. IEEE J. Solid State Circuits 50(9), 2188–2198 (2015c)

    Article  Google Scholar 

  • M.F. Chang, C.C. Lin, A. Lee, et al., A 3T1R nonvolatile TCAM using MLC ReRAM with Sub-1 ns search time, IEEE International on Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2015), pp. 1–3

    Google Scholar 

  • M.-F. Chang, W.-Y. Lu, S.-J. Shen, M.-P. Chen, C.-S. Lin, S.-S. Sheu, C.-H. Hung, Y.-S. Yang, Y.-J. Kuo, S.-N. Hung, H.-T. Lue, C.-H. Shen, J.-M. Shieh, Supply-variation-resilient nonvolatile 3D IC and 3D memory using low peak-current on-chip charge-pump circuits, in Proceedings of the IEEE Electron Devices and Solid-State Circuits (EDSSC) (2015), pp. 118–121

    Google Scholar 

  • M.F. Chang, W.Y. Lu, S.J. Shen, et al., Supply-variation-resilient nonvolatile 3D IC and 3D memory using low peak-current on-chip charge-pump circuits, in Proceedings of the IEEE Conference on Electron Devices and Solid-State Circuits (2015), pp. 118–121

    Google Scholar 

  • W.-M. Chen, C. Swift, D. Roberts, K. Forbes, J. Higman, B. Maiti, W. Paulson, K.-T. Chang, A novel flash memory device with split gate source side injection and ono charge storage stack (SPIN), Symp. VLSI Technology Dig. Tech. Papers (1997), pp. 63–64

    Google Scholar 

  • J.H. Chen, L.T. Clark, T.H. Chen, An ultra-low-power memory with a subthreshold power supply voltage. IEEE J. Solid State Circuits 41(10), 2344–2353 (2006)

    Article  Google Scholar 

  • Y.-H. Chen, W.-M. Chan, W.-C. Wu, et al., A 16 nm 128 Mb SRAM in high-κ metal-gate FinFET technology with write-assist circuitry for low-VMIN applications, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 238–239

    Google Scholar 

  • H.Y. Cheng, T.H. Hsu, S. Raoux, J.Y. Wu, P.Y. Du, M. Breitwisch, Y. Zhu, E.K. Lai, E. Joseph, S. Mittal, R. Cheek, A. Schrott, S.C. Lai, H.L. Lung, C. Lam, A high performance phase change memory with fast switching speed and high temperature retention by engineering the GexSbyTez phase change material, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2011), pp. 3.4.1–3.4.4

    Google Scholar 

  • H.Y. Cheng, J.Y. Wu, R. Cheek, S. Raoux, M. BrightSky, D. Garbin, S. Kim, T.H. Hsu, Y. Zhu, E.K. Lai, E. Joseph, A. Schrott, S.C. Lai, A. Ray, H.L. Lung, C. Lam, A thermally robust phase change memory by engineering the Ge/N concentration in (Ge, N)xSbyTez phase change material, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2012), pp. 31.1.1–31.1.4

    Google Scholar 

  • W.C. Chien, Y.R. Chen, Y.C. Chen, et al., A forming-free WOx resistive memory using a novel self-aligned field enhancement feature with excellent reliability and scalability, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2010), pp. 19.2.1–19.2.4

    Google Scholar 

  • Y.D. Chih, C.H. Wang, C.H. Kuo, Reference cell circuit for split gate flash memory. U.S. Patent 6,396,740, 28 May 2002

    Google Scholar 

  • P.-F. Chiu, M.-F. Chang, S.-S. Sheu, et al., A low store energy, low VDDmin, nonvolatile 8T2R SRAM with 3D stacked RRAM devices for low power mobile applications, Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers (2010), pp. 229–230

    Google Scholar 

  • C.Y.-S. Cho, M.-J. Chen, C.-F. Chen, P. Tuntasood, D.-F. Fan, T.-Y. Liu, A novel self-aligned highly reliable sidewall split-gate flash memory. IEEE Trans. Electron Dev. 53, 465–473 (2006)

    Article  Google Scholar 

  • C.Y.-S. Cho, J.C. Wang, L. Huang, et al., A 55-nm, 0.86-Volt operation, 75 MHz high speed, 96 μA/MHz low power, wide voltage supply range 2 M-bit split-gate embedded Flash, in Proceedings of the IEEE International Symposium on VLSI Design, Automation, and Test (VLSI-DAT) (2013), pp. 1–4

    Google Scholar 

  • Y.D. Choi, I.H. Song, M.H. Park, et al., A 20 nm 1.8 V 8 Gb PRAM with 40 MB/s program bandwidth, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2012), pp. 46–48

    Google Scholar 

  • C.C. Chung, H.C. Lin, Y.T. Lin, A multilevel read and verifying scheme for Bi-NAND flash memories. IEEE J. Solid State Circuits 42(5), 1180–1188 (2007)

    Article  Google Scholar 

  • H. Chung, B.H. Jeong, B.J. Min, et al., A 58 nm 1.8 V 1 Gb PRAM with 6.4 MB/s program BW, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2011), pp. 500–502

    Google Scholar 

  • G.F. Close, U. Frey, J. Morrish, et al., A 512 Mb phase-change memory (PCM) in 90 nm CMOS achieving 2b/cell, Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers (2011), pp. 202–203

    Google Scholar 

  • Datasheet, “sfc 0064_08b9_he” Taiwan Semiconductor Manufacturing Company (TSMC) (2001)

    Google Scholar 

  • Datasheet, AF64K8AF25, v1.0 1st Silicon Sdn. Bhd. (X-Fab) (2005)

    Google Scholar 

  • G. De Sandre, L. Bettini, A. Pirola, et al., A 90 nm 4 Mb embedded phase-change memory with 1.2 V 12 ns read access time and 1 MB/s write throughput, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2010), pp. 268–269

    Google Scholar 

  • J.F. Dickson, On-chip high-voltage generation in MNOS integrated circuits using an improved voltage multiplier technique. IEEE J. Solid State Circuits 11(3), 374–378 (1976)

    Article  Google Scholar 

  • A.T. Do, C. Yin, K. Velayudhan et al., 0.77 fJ/bit/search content addressable memory using small match line swing and automated background checking scheme for variation tolerance. IEEE J. Solid State Circuits 49(7), 1487–1498 (2014)

    Article  Google Scholar 

  • B. Eitan, P. Pavan, I. Bloom, E. Aloni, A. Frommer, D. Finzi, Can NROM, a 2-bit, trapping storage BVN cell, give a real challenge to floating gate cells, in Proc. Int. Conf. Solid State Devices and Materials (1999), pp. 522–524

    Google Scholar 

  • B. Eitan, P. Pavan, I. Bloom, E. Aloni, A. Frommer, D. Finzi, NROM: a novel localized trapping, 2-bit nonvolatile memory cell. IEEE Electron Device Lett. 21(11), 543–545 (2000)

    Article  Google Scholar 

  • K. Eshraghian, K.-C. Cho, O. Kavehei, et al., Memristor MOS Content Addressable Memory (MCAM): hybrid architecture for future high performance search engines, IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2010), pp. 1407–1417

    Google Scholar 

  • R. Fackenthal, M. Kitagawa, W. Otsuka, et al., 19.7 A 16 Gb ReRAM with 200 MB/s write and 1 GB/s read in 27 nm technology, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 338–339

    Google Scholar 

  • F. Frustaci, M. Khayatzadeh, D. Blaauw, D. Sylvester, M. Alioto, A 32 kb SRAM for error-free and error-tolerant applications with dynamic energy- quality management in 28 nm CMOS, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 244–245

    Google Scholar 

  • D. Halupka, S. Huda, W. Song, et al., Negative-resistance read and write schemes for STT-MRAM in 0.13 μm CMOS, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2010), pp. 256–257

    Google Scholar 

  • F. Hamzaoglu, U. Arslan, N. Bisnik, et al. A 1 Gb 2 GHz embedded DRAM in 22 nm Tri-Gate CMOS technology, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 230–231

    Google Scholar 

  • M. Hatanaka, H. Hidaka, Value creation in SOC/MCU applications by embedded nonvolatile memory evolutions, in Proceedings of the IEEE Asia Solid-State Circuits Conf. (A-SSCC) (2007), pp. 38–42

    Google Scholar 

  • I. Hayashi, T. Amano, N. Watanabe et al., A 250-MHz 18-Mb full ternary CAM with low-voltage matchline sensing scheme in 65-nm CMOS. IEEE J. Solid State Circuits 48(11), 2671–2680 (2013)

    Article  Google Scholar 

  • H. Hidaka, Evolution of embedded flash memory technology for MCU, in IEEE International Conference on IC Design & Technology (ICICDT) (2011), pp. 1–4

    Google Scholar 

  • M.-C. Hsieh, Y.-C. Liao, Y.-W. Chin, et al. Ultra high density 3D via RRAM in pure 28 nm CMOS process, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2013), pp. 10.3.1–10.3.4

    Google Scholar 

  • K.-C. Huang et al., The impacts pf control gate voltage on the cycling endurance of split gate flash memory. IEEE Electron Device Lett. 21, 359–361 (2000)

    Article  Google Scholar 

  • L.Y. Huang, M.F. Chang, C.H. Chuang et al., ReRAM-based 4T2R nonvolatile TCAM with 7x NVM-stress reduction, and 4x improvement in speed-wordlength-capacity for normally-off instant-on filter-based search engines used in big-data processing, Symposium on VLSI Circuits Dig. Tech. Papers (2014), pp. 1–2

    Google Scholar 

  • D.H. Im, J.I. Lee, S.L. Cho, H.G. An, D.H. Kim, I.S. Kim, H. Park, D.H. Ahn, H. Horii, S.O. Park, U-in Chung, J.T. Moon, A unified 7.5 nm dash-type confined cell for high performance PRAM device, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2008), pp. 1–4

    Google Scholar 

  • P. Jain, D. Jiao, X. Wang, C.H. Kim, Measurement, analysis and improvement of supply noise in 3D ICs, Symposium on VLSI Circuits Dig. Tech. Papers (2011), pp. 46–47

    Google Scholar 

  • J. Javanifard, T. Tanadi, H. Giduturi, et al., A 45 nm self-aligned-contact process 1 Gb NOR flash with 5 MB/s program speed, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2008), pp. 424–624

    Google Scholar 

  • M. Jefremow, T. Kern, U. Backhausen, et al., Bitline-capacitance-cancelation sensing scheme with 11 ns read latency and maximum read throughput of 2.9 GB/s in 65 nm embedded flash for automotive, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2012), pp. 428–430

    Google Scholar 

  • M. Jefremow, T. Kern, W. Allers, et al., Time-differential sense amplifier for sub-80 mV bitline voltage embedded STT-MRAM in 40 nm CMOS, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2013), pp. 216–217

    Google Scholar 

  • S. Jeloka, N. Akesh, D. Sylvester, et al., A configurable TCAM/BCAM/SRAM using 28 nm push-rule 6T bit cell, Symposium on VLSI Circuits (2015), pp. C272–C273

    Google Scholar 

  • S.H. Jo, T. Kumar, S. Narayanan, W.D. Lu, H. Nazarian, 3D-stackable crossbar resistive memory based on Field Assisted Superlinear Threshold (FAST) selector, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2014), pp. 6.7.1–6.7.4

    Google Scholar 

  • M. Kamiya, Y. Kojima, Y. Kato, K. Tanaka, Y. Hayashi, EPROM CellWith High Gate Injection Efficiency, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (1982), pp. 741–744

    Google Scholar 

  • A. Kawahara, R. Azuma, Y. Ikeda, et al. An 8 Mb multi-layered cross-point ReRAM macro with 443 MB/s write throughput, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2012), pp. 432–434

    Google Scholar 

  • M.D. Ker, S.L. Chen, C.S. Tsai et al., Design of charge pump circuit with consideration of gate-oxide reliability in low-voltage CMOS processes. IEEE J. Solid State Circuits 41(5), 1100–1107 (2006)

    Article  Google Scholar 

  • S. Kianian, A. Levi, D. Lee, Y. W. Hu, A novel 3 volts-only, small sector erase, high density flash E PROM, Symp. VLSI Technology Dig. Tech. Papers (1994), pp. 71–72

    Google Scholar 

  • T.H. Kim, J. Liu, C.H. Kim, A voltage scalable 0.26 V, 64 kb 8T SRAM with Vmin lowering techniques and deep sleep mode. IEEE J. Solid State Circuits 44(6), 1785–1795 (2009)

    Article  Google Scholar 

  • W.J. Kim, J.H. Jeong, Y. Kim, et al., Extended scalability of perpendicular STT-MRAM towards sub-20 nm MTJ node, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2011), pp. 24.1.1–24.1.4

    Google Scholar 

  • E. Kitagawa, S. Fujita, K. Nomura, et al., Impact of ultra-low power and fast write operation of advanced perpendicular MTJ on power reduction for high-performance mobile CPU, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2012), pp. 29.4.1–29.4.4

    Google Scholar 

  • K. Kobayashi, T. Nakayama, Y. Miyawaki et al., A high-speed parallel sensing architecture for multi-megabit flash E2PROMs. IEEE J. Solid State Circuits 25(1), 79–83 (1990)

    Article  Google Scholar 

  • T. Kono, T. Ito, T. Tsuruda, T. Nishiyama, T. Nagasawa, T. Ogawa, Y. Kawashima, H. Hidaka, T. Yamauchi, 40-nm embedded split-gate MONOS (SG-MONOS) flash macros for automotive with 160-MHz random access for code and endurance over 10 M cycles for data at the junction temperature of 170 °C. IEEE J. Solid State Circuits 49, 154–166 (2013)

    Article  Google Scholar 

  • T. Kono, T. Ito, T. Tsuruda et al., 40-nm embedded Split-Gate MONOS (SG-MONOS) flash macros for automotive with 160-MHz random access for code and endurance over 10 M cycles for data at the junction temperature of 170 C. IEEE J. Solid State Circuits 49(1), 154–166 (2014)

    Article  Google Scholar 

  • A. Kotov, Three generations of Embedded SuperFlash split gate cell: scaling progress and challenges, Leti Innovation Days–Memory Workshop (2013)

    Google Scholar 

  • S. Koveshnikov, K. Matthews, K. Min, et al. Real-time study of switching kinetics in integrated 1T/ HfOx1R RRAM: intrinsic tunability of set/reset voltage and trade-off with switching time, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2012), pp. 20.4.1–20.4.3

    Google Scholar 

  • C. Kuo, D. Chrudimsky, T. Jew, C. Gallun, J. Choy, B. Wang, S. Pessoney, A 32-Bit RISC microcontroller with 448 K bytes of embedded flash memory, Int. NonVolatile Memory Technol. Conference (1998), pp. 28–33

    Google Scholar 

  • M. Kuriyama, S. Atsumi, A. Umezawa, et al., A 5 V-only 0.6 μm flash EEPROM with row decoder scheme in triple-well structure, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (1992), pp. 152–153

    Google Scholar 

  • S. Lai, Current status of the phase change memory and its future, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2003), pp. 10.1.1–10.1.4

    Google Scholar 

  • P. Larsson, Measurements and analysis of PLL jitter caused by digital switching noise, IEEE Journal of Solid-State Circuits (2001), pp. 1113–1119

    Google Scholar 

  • P. Larsson, Measurements and analysis of PLL jitter caused by digital switching noise, IEEE J. Solid-State Circuits (2001), pp. 1113–1119

    Google Scholar 

  • C. Lauterbach, W. Weber, D. Romer et al., Charge sharing concept and new clocking scheme for power efficiency and electromagnetic emission improvement of boosted charge pumps. IEEE J. Solid State Circuits 35(5), 719–723 (2000)

    Article  Google Scholar 

  • B.Q. Le, M. Achter, C.G. Chng et al., Virtual-ground sensing techniques for a 49-ns/200-MHz access time 1.8-V 256-Mb 2-bit-per-cell flash memory. IEEE J. Solid State Circuits 39(11), 2014–2023 (2004)

    Article  Google Scholar 

  • J.Y. Lee, S.E. Kim, S.J. Song et al., A regulated charge pump with small ripple voltage and fast start-up. IEEE J. Solid State Circuits 41(2), 425–432 (2006a)

    Article  Google Scholar 

  • H.-Y. Lee, Y.-S. Chen, P.-S. Chen, et al., Comprehensively study of read disturb immunity and optimal read scheme for high speed HfOx based RRAM with a Ti layer, in Proceedings of the IEEE Symposium on VLSI Technology, Systems and Applications (VLSI-TSA) (2010), pp. 132–133

    Google Scholar 

  • A. Lee, M.F. Chang, C.C. Lin, et al., RRAM-based 7T1R nonvolatile SRAM with 2x reduction in store energy and 94x reduction in restore energy for frequent-off instant-on applications, Symposium on VLSI Circuits (VLSI Circuits) (2015), pp. C76–C77

    Google Scholar 

  • J. Li, R. Montoye, M. Ishii, et al., 1 Mb 0.41 μm2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing, Symposium on VLSI Technology (VLSIT) (2013), pp. C104–C105

    Google Scholar 

  • J. Li, R.K. Montoye, M. Ishii, L. Chang, 1 Mb 0.41 μm2 2T-2R cell nonvolatile TCAM with two-bit encoding and clocked self-referenced sensing. IEEE J. Solid State Circuits 49, 896–907 (2014)

    Article  Google Scholar 

  • W. Liu, K.T. Chang, C. Cavins, B. Luderman, C. Swift, K.M. Chang, B. Morton, G. Espinor, S. Ledford, A 2-Transistor Source-Select (2TS) flash EEPROM for 1.8 V-Only applications, Non-Volatile Semiconductor Memory Worshop (1997), pp.4.1.1–4.1.3

    Google Scholar 

  • Y.C. Liu, M.F. Chang, Y.F. Lin, et al., An embedded flash macro with sub-4 ns random-read-access using asymmetric-voltage-biased current-mode sensing scheme, in Proceedings of the IEEE Asian Solid-State Circuits Conference (A-SSCC) (2013), pp. 241–244

    Google Scholar 

  • T.Y. Liu, T.H. Yan, R. Scheuerlein, et al., A 130.7 mm2 2-layer 32 Gb ReRAM memory device in 24 nm technology, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2013), pp. 210–211

    Google Scholar 

  • S. Lütkemeier, U. Ruckert et al., A subthreshold to above-threshold level shifter comprising a wilson current mirror. IEEE Trans. Circuits Syst. II: Exp. Brief. 57(9), 721–724 (2010)

    Article  Google Scholar 

  • G.G. Marotta, A. Macerola, A. d’Alessandro, et al., A 3 bit/cell 32 Gb NAND flash memory at 34 nm with 6 MB/s program throughput and with dynamic 2 b/cell blocks configuration mode for a program throughput increase up to 13 MB/s, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2010), pp. 444–445

    Google Scholar 

  • S. Matsunaga, S. Miura, H. Honjou, et al., A 3.14 μm2 4T-2MTJ-cell fully parallel TCAM based on nonvolatile logic-in-memory architecture, Symposium on VLSI Circuits (VLSIC) (2012), pp. 44–45

    Google Scholar 

  • A.V. Mezhiba, E.G. Friedman, Scaling trends of on-chip power distribution noise. IEEE Trans. VLSI Syst 12(4), 386–394 (2004)

    Article  Google Scholar 

  • R. Micheloni, L. Crippa, M. Sangalli et al., The flash memory read path: building blocks and critical aspects. IEEE Proc. 91(4), 537–553 (2003)

    Article  Google Scholar 

  • R. Mih et al., 0.18 m modular triple self-aligned embedded split-gate flash memory, in Symp. VLSI Technology Dig. Tech. Papers, 2000, pp. 120–121

    Google Scholar 

  • Y. Morita, H. Fujiwara, H. Noguchi, et al., An area-conscious low-voltage-oriented 8T-SRAM design under DVS environment, Symposium on VLSI Circuits Dig. Tech. Papers (2007), pp. 256–257

    Google Scholar 

  • F. Nardi, S. Balatti, S. Larentis, D. Ielmini, Complementary switching in metal oxides: Toward diode-less crossbar RRAMs, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2011), pp. 31.1.1–31.1.4

    Google Scholar 

  • K. Nii, K. Yamaguchi, M. Yabuuchi, et al., Silicon measurements of characteristics for passgate/pull-down/pull-up MOSs and search MOS in a 28 nm HKMG TCAM bitcell, in Proceedings of the International Conference on Microelectronic Test Structures (ICMTS) (2015), pp. 200–203

    Google Scholar 

  • T. Ogura, M. Hosoda, T. Ogawa et al., A 1.8-V 256-Mb multilevel cell nor flash memory with BGO function. IEEE J. Solid State Circuits 41(11), 2589–2600 (2006)

    Article  Google Scholar 

  • T.-Y. Oh, H. Chung, Y.-C. Cho, et al. A 3.2 Gb/s/pin 8 Gb 1.0 V LPDDR4 SDRAM with integrated ECC engine for sub-1 V DRAM core operation, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 430–431

    Google Scholar 

  • T. Ohsawa, H. Koike, S. Miura et al., A 1 Mb nonvolatile embedded memory using 4T2MTJ cell with 32 b fine-grained power gating scheme. IEEE J. Solid State Circuits 48(6), 1511–1520 (2013)

    Article  Google Scholar 

  • S.R. Ovshinsky, Reversible electrical switching phenomena in disordered structure. Phys. Rev. Lett. 21(20), 1450–1455 (1968)

    Article  Google Scholar 

  • G. Palumbo, D. Pappalardo, Charge pump circuits: an overview on design strategies and topologies. IEEE Circuits Syst. Mag. 10(1), 31–45 (2009)

    Article  Google Scholar 

  • A. Pirovano, A.L. Lacaita, A. Benvenuti, F. Pellizzer, R. Bez, Electronic switching in phase-change memories. IEEE Trans. Electron Dev. 51(3), 452–459 (2004)

    Article  Google Scholar 

  • H. Pozidis, N. Papandreou, A. Sebastian, et al., Reliable MLC data storage and retention in phase-change memory after endurance cycling, in Proceedings of the IEEE International Memory Workshop (IMW) (2013), pp. 100–103

    Google Scholar 

  • M. Rizzi, N. Ciocchini, S. Caravati, et al., Statistics of set transition in phase change memory (PCM) arrays, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2014)

    Google Scholar 

  • S.K. Saha, Design considerations for sub-90-nm split-gate flash-memory cells. IEEE Trans. Electron Dev. 54, 465–473 (2007)

    Google Scholar 

  • Y. Sakotsubo, M. Terai, S. Kotsuji, et al., A new approach for improving operating margin of unipolar ReRAM using local minimum of reset voltage, IEEE Symposium on VLSI Technology (VLSIT) Dig. Tech. Papers (2010), pp. 87–88

    Google Scholar 

  • A. Serb, R. Berdan, A. Khiat, C. Papavassiliou, T. Prodromakis, Live demonstration: a versatile, low-cost platform for testing large ReRAM cross-bar arrays, in Proceedings of the International Symposium on Circuits and Systems (ISCAS) (2014), pp. 441

    Google Scholar 

  • S.S. Sheu, C.C. Kuo, M.F. Chang, et al., A ReRAM integrated 7T2R non-volatile SRAM for normally-off computing application, in Proceedings of the IEEE Asian Solid-State Circuits Conference (A-SSCC) (2013), pp. 245–248

    Google Scholar 

  • Y.H. Shih, J.Y. Wu, B. Rajendran, M.H. Lee, R. Cheek, M. Lamorey, M. Breitwisch, Y. Zhu, E.K. Lai, C.F. Chen, E. Stinzianni, A. Schrott, E. Joseph, R. Dasaka, S. Raoux, H.L. Lung, C. Lam, Mechanisms of retention loss in Ge2Sb2Te5-based phase-change memory, IEEE Electron Devices Meeting (IEDM) Dig. Tech. Papers (2008), pp. 1–4

    Google Scholar 

  • T. Song, W. Rim, J. Jung, et al., A 14 nm FinFET 128 Mb 6T SRAM with VMIN enhancement techniques for low-power applications, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2014), pp. 232–233

    Google Scholar 

  • Y. Taito, M. Nakano, H. Okimoto, et al., 7.3 A 28 nm embedded SG-MONOS flash macro for automotive achieving 200 MHz read operation and 2.0 MB/S write throughput at Ti, of 170 °C, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2015), pp. 1–3

    Google Scholar 

  • K. Takahashi, H. Doi, N. Tamura, K. Mimuro, T. Hashizume, Y. Moriyama, Y. Okuda, A 0.9 V operation 2-transistor flash memory for embedded logic LSIs, Symp. VLSI Technology Dig. Tech. Ppaers (1999), pp. 21–22

    Google Scholar 

  • K. Takeuchi, Y. Kameda, S. Fujimura et al., A 56-nm CMOS 99-mm2 8-Gb Multi-Level NAND Flash Memory With 10-MB/s Program Throughput. IEEE J. Solid State Circuits 42(1), 219–232 (2007)

    Article  Google Scholar 

  • K.-T. Tang, S.-W. Chiu, C.-H. Shih, et al., A 0.5 V 1.27 mW nose-on-a-chip for rapid diagnosis of ventilator-associated pneumonia, IEEE International Solid-State Circuits Conference (ISSCC) (2014), pp. 1–2, pp. 420–421

    Google Scholar 

  • J. Tsouhlarakis, G. Vanhorebeek, G. Vehoeven et al., A flash memory technology with quasi-virtual ground array for low-cost embedded applications. IEEE J. Solid State Circuits 36(6), 969–978 (2001)

    Article  Google Scholar 

  • K. Tsunoda, M. Aoki, H. Noshiro, et al. Highly manufacturable multi-level perpendicular MTJ with a single top-pinned layer and multiple barrier/free layers, in IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2013), pp. 3.3.1–3.3.4

    Google Scholar 

  • J. Van Houdt, P. Heremans, L. Deferns, G. Groeseneken, H.E. Maes, Analysis of the enhanced hot-electron injection in split-gate transistors useful for EEPROM applications. IEEE Trans. Electron Dev. 39, 1150–1156 (1992)

    Article  Google Scholar 

  • N. Verma, A.P. Chandrakasan, A 256 kb 65 nm 8T subthreshold SRAM employing sense-amplifier redundancy. IEEE J. Solid State Circuits 43(1), 141–149 (2008)

    Article  Google Scholar 

  • Y.-H. Wang, M.-C. Wu, C.-J. Lin et al., An analytical programming model for the draincoupling source-side injection split gate flash EEPROM. IEEE Trans. Electron Dev. 52, 385–391 (2005)

    Article  Google Scholar 

  • W. Wang, A. Gibby, Z. Wang, et al., Nonvolatile SRAM Cell, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2006), pp. 1–4

    Google Scholar 

  • X.P. Wang, Z. Fang, X. Li, et al., Highly compact 1T-1R architecture (4F2 footprint) involving fully CMOS compatible vertical GAA nano-pillar transistors and oxide-based RRAM cells exhibiting excellent NVM properties and ultra-low power operation, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2012), pp. 20.6.1–20.6.4

    Google Scholar 

  • Y.-H. Wang, S.-H. Huang, D.-Y. Wang, et al., Impact of stray field on the switching properties of perpendicular MTJ for scaled MRAM, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2012), pp. 29.2.1–29.2.4

    Google Scholar 

  • Y. Wang, Y. Liu, S. Li, D. Zhang, B. Zhao, M.-F. Chiang, Y. Yan, B. Sai, H. Yang, A 3μs wake-up time nonvolatile processor based on ferroelectric flip-flops, in Proceedings of the European Solid-State Circuits Conference (ESSCIRC) (2012), pp. 149–152

    Google Scholar 

  • Y. Wang, Y. Liu, S. Li, X. Sheng, D. Zhang, M.-F. Chiang, B. Sai, X.-S. Hu, H. Yang, PaCC: A parallel compare and compress codec for area reduction in nonvolatile processors, IEEE Transactions on Very Large Scale Integration (VLSI) Systems (2014), pp. 1491–1505

    Google Scholar 

  • O. Wataru, K. Miyata, M. Kitagawa, et al., A 4 Mb conductive-bridge resistive memory with 2.3 GB/s read-throughput and 216 MB/s program-throughput, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2011), pp. 210–211

    Google Scholar 

  • W. Wei, K. Namba, J. Han et al., Design of a nonvolatile 7T1R SRAM cell for instant-on operation. IEEE Trans. Nanotechnol. 13(5), 905–916 (2014)

    Article  Google Scholar 

  • C.-Y. Wen, J. Li, S. Kim, M. Breitwisch, C. Lam, J. Paramesh, L.T. Pileggi, A non-volatile look-up table design using PCM (phase-change memory) cells, Symposium on VLSI Circuits (VLSIC) Dig. Tech. Papers (2011), pp. 302–303

    Google Scholar 

  • J.S. Witters, G. Groeseneken, H.E. Maes, Analysis and modeling of on-chip high-voltage generator circuit for use in EEPROM circuits. IEEE J. Solid State Circuits 24, 1372–1380 (1989)

    Article  Google Scholar 

  • S.N. Wooters, B.H. Calhoun, T.N. Blalock et al., An energy-efficient subthreshold level converter in 130-nm CMOS. IEEE Trans. Circuits Syst. II: Exp. Brief. 57(4), 290–294 (2010)

    Article  Google Scholar 

  • J.Y. Wu, M. Breitwisch, S. Kim, T.H. Hsu, R. Cheek, P.Y. Du, J. Li, E.K. Lai, Y. Zhu, T.Y. Wang, H.Y. Cheng, A. Schrott, E.A. Joseph, R. Dasaka, S. Raoux, M.H. Lee, H.L. Lung, C. Lam, A low power phase change memory using thermally confined TaN/TiN bottom electrode, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2011), pp. 3.2.1–3.2.4

    Google Scholar 

  • J.Y. Wu, W.S. Khwa, M.H. Lee, H.P. Li, S.C. Lai, T.H. Su, M.L. Wei, T.Y. Wang, M. BrightSky, T.S. Chen, W.C. Chien, S. Kim, R. Cheek, H.Y. Cheng, E.K. Lai, Y. Zhu, H.L. Lung, C. Lam, Greater than 2-bits/cell MLC storage for ultra high density phase change memory using a novel sensing scheme, Symposium on VLSI Technology (VLSI Technology) (2015), pp. T94–T95

    Google Scholar 

  • X.Y. Xue, W.X. Jian, J.G. Yang et al., A 0.13 μm 8 Mb Logic-Based CuxSiyO ReRAM With Self-Adaptive Operation for Yield Enhancement and Power Reduction. IEEE J. Solid State Circuits 48(5), 1315–1322 (2013)

    Article  Google Scholar 

  • M. Yabuuchi, Y. Tsukamoto, M. Morimoto, et al., 13.3 20 nm high-density single-port and dual-port SRAMs with wordline-voltage-adjustment system for read/write assists, IEEE International Solid-State Circuits Conference (ISSCC) Digest of Technical Papers (2014), pp. 234–235

    Google Scholar 

  • S. Yamamoto, Y. Shuto, S. Sugahara, Nonvolatile SRAM (NV-SRAM) using functional MOSFET merged with resistive switching devices, in Proceedings of the IEEE Custom Integrated Circuits Conference (CICC) (2009), pp. 531–534

    Google Scholar 

  • T. Yamauchi, Prospect of embedded non-volatile memory in the smart society, in Proceeding of the IEEE International Symposium on VLSI Technology, Systems and Application (VLSI-TSA) (2015), pp. 1–2

    Google Scholar 

  • T. Yamauchi, H. Kondo, K. Nii, Automotive low power technology for IoT society, Symposium on VLSI Technology (VLSIT), Dig. Tech. Papers (2015), pp. T80–T81

    Google Scholar 

  • J.J. Yang et al., Engineering nonlinearity into memristors for passive crossbar applications. Appl. Phys. Lett. 100, 113501 (2012)

    Article  Google Scholar 

  • Y. Yano, Take the expressway to go greener, in IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2012), pp. 24–30

    Google Scholar 

  • J.A. Yater, S.T. Kang, R. Steimle, C.M. Hong, B. Winstead, M. Herrick, G. Chindalore, Optimization of 90 nm split gate nanocrystal non-volatile memory, in Proceedings of the Non-Volatile Semiconductor Memory Workshop (2007), pp. 77–78

    Google Scholar 

  • H.C. Yu, K.F. Lin, K.C. Lin, et al., A 180 MHz direct access read 4.6 Mb embedded flash in 90 nm technology operating under wide range power supply from 2.1 V to 3.6 V, in Proceedings of the IEEE International Symposium on VLSI Design, Automation, and Test (VLSI-DAT) (2013), pp. 1–4

    Google Scholar 

  • B. Zhai, S. Hanson, D. Blaauw et al., A variation-tolerant sub-200 mV 6-T subthreshold SRAM. IEEE J. Solid State Circuits 43(10), 2338–2348 (2008)

    Article  Google Scholar 

  • K. Zhang, Embedded memories for nano-scale VLSIs (Springer, New York, 2009)

    Book  Google Scholar 

  • L. Zhang, B. Govoreanu, B. Redolfi, et al., High-drive current (>1 MA/cm2) and highly nonlinear (>103) TiN/Amorphous-Silicon/TiN scalable bidirectional selector with excellent reliability and its variability impact on the 1S1R array performance, IEEE International Electron Devices Meeting (IEDM) Dig. Tech. Papers (2014), pp. 6.8.1–6.8.4

    Google Scholar 

  • M. Zwerg, A. Baumann, R. Kuhn, M. Arnold, R. Nerlich, An 82 μA/MHz microcontroller with embedded FeRAM for energy-harvesting applications, IEEE International Solid-State Circuits Conference (ISSCC) Dig. Tech. Papers (2011), pp. 334–336

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Meng-Fan Chang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2017 Springer International Publishing AG

About this chapter

Cite this chapter

Chang, MF. (2017). On-Chip Non-volatile Memory for Ultra-Low Power Operation. In: Alioto, M. (eds) Enabling the Internet of Things. Springer, Cham. https://doi.org/10.1007/978-3-319-51482-6_6

Download citation

  • DOI: https://doi.org/10.1007/978-3-319-51482-6_6

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-319-51480-2

  • Online ISBN: 978-3-319-51482-6

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics