Skip to main content

Hybrid Architectures and Controllers for Low-Dropout Regulators

  • Chapter
  • First Online:
Analog and Mixed-Signal Circuits in Nanoscale CMOS

Part of the book series: Analog Circuits and Signal Processing ((ACSP))

  • 1194 Accesses

Abstract

Fine-grained supply voltage management is highly favorable for high system power efficiency of a system-on-a-chip (SoC) or multicore microprocessors. Fully integrated low-dropout regulators (LDOs) can provide a compact and cost-effective solution to supply the multiple divided and adaptive voltage domains. Meanwhile, they enable a fast dynamic voltage and frequency scaling (DVFS) for digital systems. A conventional analog LDO (A-LDO) may not fit well in such high-current applications, due to its relatively low-frequency pole at the gate of the power transistor, and the performance degradation in a low-input voltage case. Instead, digital LDO (D-LDO), switching LDO (S-LDO), and hybrid architectures are more suitable for the digital loads. This chapter first discusses the classic LDO control methods and power stage selection considerations. Then, we detail the design techniques of the analog-assisted digital LDO, hybrid controlled LDO, and the ampere-level switching LDO, in an advanced nanoscale CMOS (complementary metal-oxide semiconductor) process.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 119.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Kim, S. T., et al. (2016). Enabling wide autonomous DVFS in a 22 nm graphics execution core using a digitally controlled fully integrated voltage regulator. IEEE Journal of Solid-State Circuits, 51(1), 18–30.

    Article  Google Scholar 

  2. Lu, Y., Wang, Y., Pan, Q., Ki, W.-H., & Yue, C. P. (2015). A fully-integrated low-dropout regulator with full-spectrum power supply rejection. IEEE Transactions on Circuits and Systems I: Regular Papers, 62(3), 707–716.

    Article  MathSciNet  MATH  Google Scholar 

  3. Huang, M., Feng, H., & Lu, Y. (2019). A fully-integrated FVF-based low-dropout regulator with wide load capacitance and current ranges. IEEE Transactions on Power Electronics, 34(12), 11880–11888.

    Article  Google Scholar 

  4. Cai, G., et al. (2021). A fully integrated FVF LDO with enhanced full-spectrum power supply rejection. IEEE Transactions on Power Electronics, 36(4), 4326–4337.

    Article  Google Scholar 

  5. Guo, J., & Leung, K. N. (2010). A 6-μW chip-area-efficient output-capacitorless LDO in 90-nm CMOS technology. IEEE Journal of Solid-State Circuits, 45(9), 1896–1905.

    Article  Google Scholar 

  6. Luria, K., Shor, J., Zelikson, M., & Lyakhov, A. (2015, February). Dual-use low-drop-out regulator / power gate with linear and on-off conduction modes for microprocessor on-die supply voltages in 14nm. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 156–157.

    Google Scholar 

  7. Kim, S., & Seok, M. (2015). Variation-tolerant, ultra-low-voltage microprocessor with a low-overhead, within-a-cycle in-situ timing-error detection and correction technique. IEEE Journal of Solid-State Circuits, 50(6), 1478–1490.

    Article  Google Scholar 

  8. Al-Fuqaha, A., Guizani, M., Mohammadi, M., Aledhari, M., & Ayyash, M. (2015). Internet of things: A survey on enabling technologies, protocols, and applications. IEEE Communications Surveys & Tutorials, 17(4), 2347–2376.

    Article  Google Scholar 

  9. Jain, S., et al. (2012, February). A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 66–68.

    Google Scholar 

  10. Huang, M., Lu, Y., Seng-Pan, U., & Martins, R. P. (2016). Limit cycle oscillation reduction for digital low dropout regulators. IEEE Transactions on Circuits and Systems II: Express Briefs, 63(9), 903–907.

    Google Scholar 

  11. Lin, Y. H., Zheng, K. L., & Chen, K. H. (2008). Smooth pole tracking technique by power MOSFET array in low-dropout regulators. IEEE Transactions on Power Electronics, 23(5), 2421–2427.

    Article  Google Scholar 

  12. Kwok, K. C., & Mok, P. K. T. (2003, May). Pole-zero tracking frequency compensation for low dropout regulator. In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), pp. 379–382.

    Google Scholar 

  13. Muthukaruppan, R., et al. (2017, September). A digitally controlled linear regulator for per-core wide-range DVFS of atom cores in 14nm tri-gate CMOS featuring non-linear control, adaptive gain and code roaming. In Proceedings of 43rd IEEE European Solid State Circuits Conference (ESSCIRC), pp. 275–278.

    Google Scholar 

  14. Mahajan, T., et al. (2017, April). Digitally controlled voltage regulator using oscillator-based adc with fast-transient-response and wide dropout range in 14nm CMOS. In Proceedings of IEEE Custom Integrated Circuits Conference (CICC), pp. 1–4.

    Google Scholar 

  15. Meinerzhagen, P., et al. (2018, February). An energy-efficient graphics processor featuring fine-grain DVFS with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate CMOS. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 38–39.

    Google Scholar 

  16. Huang, M., Lu, Y., & Martins, R. P. (2020). An analog-proportional digital-integral multiloop digital LDO with PSR improvement and LCO reduction. IEEE Journal of Solid-State Circuits, 55(6), 1637–1650.

    Google Scholar 

  17. Salem, L. G., Warchall, J., & Mercier, P. P. (2017, February). A 100nA-to-2mA successive-approximation digital LDO with PD compensation and sub-LSB duty control achieving a 15.1ns response time at 0.5V. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 340–342.

    Google Scholar 

  18. Jung, D., et al. (2021, February). A distributed digital LDO with time-multiplexing calibration loop achieving 40A/mm2 current density and 1mA-to 6.4A ultra-wide load range in 5nm FinFET CMOS. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 414–415.

    Google Scholar 

  19. Huang, M., Lu, Y., & Martins, R. P. (2020). A comparative study of digital low dropout regulators. Journal of Semiconductors, 41(11), 111405.

    Google Scholar 

  20. Okuma, Y., et al. (2010, September). 0.5-V input digital LDO with 98.7% current efficiency and 2.7-μA quiescent current in 65nm CMOS. In Proceedings of IEEE Custom Integrated Circuits Conference (CICC), pp. 98–101.

    Google Scholar 

  21. Bang, S., et al. (2020, February). A fully synthesizable distributed and scalable all-digital LDO in 10nm CMOS. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 380–382.

    Google Scholar 

  22. Yuan, Z., Fan, S., et al. (2020). A 100 MHz, 0.8-to-1.1V, 170mA digital LDO with 8-cycles mean settling time and 9-bit regulating resolution in 180-nm CMOS. IEEE Transactions on Circuits and Systems I: Regular Papers, 67(9), 1664–1668.

    Google Scholar 

  23. Sun, X., Boora, A., et al. (2019, February). A 0.6-to-1.1V computationally regulated digital LDO with 2.79-cycle mean settling time and autonomous runtime gain tracking in 65nm CMOS. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 230–231.

    Google Scholar 

  24. Kundu, S., Liu, M., Wen, S.-J., Wong, R., & Kim, C. H. (2019). A fully integrated digital LDO with built-in adaptive sampling and active voltage positioning using a beat-frequency quantizer. IEEE Journal of Solid-State Circuits, 54(1), 109–120.

    Article  Google Scholar 

  25. Perez, M. E., et al. (2020). Distributed network of LDO microregulators providing submicrosecond DVFS and IR drop compensation for a 24-core microprocessor in 14-nm SOI CMOS. IEEE Journal of Solid-State Circuits, 55(3), 731–743.

    Article  Google Scholar 

  26. Mao, X., Lu, Y., & Martins, R. P. (2022). A scalable high-current high-accuracy dual-loop four-phase switching LDO for microprocessors. IEEE Journal of Solid-State Circuits, 57(6), 1841–1853.

    Article  Google Scholar 

  27. Hazucha, P., et al. (2005). Area-efficient linear regulator with ultra-fast load regulation. IEEE Journal of Solid-State Circuits, 40(4), 933–940.

    Article  Google Scholar 

  28. Magod, R., Bakkaloglu, B., & Manandhar, S. (2018). A 1.24 μA quiescent current NMOS low dropout regulator with integrated low-power oscillator-driven charge-pump and switched capacitor pole tracking compensation. IEEE Journal of Solid-State Circuits, 53(8), 2356–2367.

    Article  Google Scholar 

  29. Zhao, X., Zhang, Q. S., et al. (2022). A high-efficiency fast-transient LDO with low-impedance transient-current enhanced buffer. IEEE Transactions on Power Electronics, 37(8), 8976–8987.

    Article  Google Scholar 

  30. Kim, S. J., Kim, D., Pu, Y., Shi, C., & Seok, M. (2019, June). A 0.5-1V input event-driven multiple digital low-dropout-regulator system for supporting a large digital load. In IEEE Symposium on VLSI Circuits, Digest of Technical Papers, pp. C128–C129.

    Google Scholar 

  31. Kim, D., Kim, S., Ham, H., Kim, J., & Seok, M. (2018, June). 0.5V-VIN , 165-mA/mm2 Fully-Integrated Digital LDO based on Event-Driven Self-Triggering Control. In IEEE Symposium on VLSI Circuits, Digest of Technical Papers, pp. 109–110.

    Google Scholar 

  32. Oh, J., Park, J. E., et al. (2020, February). A 480mA output-capacitor-free synthesizable digital LDO using CMP-triggered oscillator and droop detector with 99.99% current efficiency, 1.3ns response time and 9.8A/mm2 current density. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 382–384.

    Google Scholar 

  33. Huang, M., Lu, Y., & Martins, R. P. (2021). Review of analog-assisted-digital and digital-assisted-analog low dropout regulators. IEEE Transactions on Circuits and Systems II: Express Briefs, 68(1), 24–29.

    Google Scholar 

  34. Huang, M., Lu, Y., Seng-Pan, U., & Martins, R. P. (2017, February). An output-capacitor free analog-assisted digital low-dropout regulator with tri-loop control. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 342–343.

    Google Scholar 

  35. Ma, X., Lu, Y., Li, Q., Ki, W.-H., & Martins, R. P. (2020). An NMOS digital LDO with NAND-based analog-assisted loop in 28-nm CMOS. IEEE Transactions on Circuits and Systems I: Regular Papers, 67(11), 4041–4052.

    Article  Google Scholar 

  36. Lu, Y., Yang, F., Chen, F., & Mok, P. K. T. (2018, February). A 500mA analog-assisted digital-LDO-based on-chip distributed power delivery grid with cooperative regulation and IR-drop reduction in 65nm CMOS. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 310–312.

    Google Scholar 

  37. Huang, M., Lu, Y., Seng-Pan, U., & Martins, R. P. (2018). An analog-assisted tri-loop digital low-dropout regulator. IEEE J Solid-State Circuits, 53(1), 20–33.

    Google Scholar 

  38. Huang, M., Lu, Y., & Martins, R. P. (2020). Partial analogue‐assisted digital low dropout regulator with transient body‐drive and 2.5× FOM improvement. Electronics Letters, 54(5), 282–283.

    Google Scholar 

  39. Mao, X., Lu, Y., & Martins, R. P. (2022). A 1.2-A calibration-free hybrid LDO with in-loop quantization and auxiliary constant current control achieving high accuracy and fast DVS. IEEE Transactions on Circuits and Systems I: Regular Papers, 69(11), 4443–4452.

    Google Scholar 

  40. Toprak-Deniz, Z., et al. (2014, February). Distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8 microprocessor. In IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers, pp. 98–99.

    Google Scholar 

  41. Kudva, S. S., et al. (2018, April). A switching linear regulator based on a fast-self-clock comparator with very low probability of meta-stability and a parallel analog ripple control module. In Proceedings of IEEE Custom Integrated Circuits Conference (CICC), pp. 1–4.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mo Huang .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2023 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Mao, X., Huang, M., Lu, Y., Martins, R.P. (2023). Hybrid Architectures and Controllers for Low-Dropout Regulators. In: Paulo da Silva Martins, R., Mak, PI. (eds) Analog and Mixed-Signal Circuits in Nanoscale CMOS. Analog Circuits and Signal Processing. Springer, Cham. https://doi.org/10.1007/978-3-031-22231-3_8

Download citation

  • DOI: https://doi.org/10.1007/978-3-031-22231-3_8

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-031-22230-6

  • Online ISBN: 978-3-031-22231-3

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics