Skip to main content

Introduction to Neuromorphic Computing Systems

  • Chapter
  • First Online:
Neuromorphic Computing Principles and Organization

Abstract

The term neuromorphic is generally used to describe analog, digital, mixed-mode analog/digital VLSI, and software systems that implement several models of neural systems. The implementation of neuromorphic computing on the hardware level can be realized by various technologies, including spintronic memories, threshold switches, CMOS transistors, and oxide-based memristors. This chapter introduces the neuromorphic computing systems and explores the fundamental concepts underlying this emerging paradigm. We first discuss biological neurons and the dynamics that are abstracted from them to model artificial neurons. Next, we discuss artificial neurons and how they have evolved in their representation of biological neuronal dynamics. Afterward, we discuss implementing these neural networks in terms of neuron models, storage technologies, inter-neuron communication networks, and learning.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 49.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 64.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 99.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Abdallah AB (2017) Advanced multicore systems-on-chip: architecture on-chip network, design. Springer, Berlin

    Book  Google Scholar 

  2. Ahmed AB, Abdallah AB (2013) Architecture and design of high-throughput, low-latency, and fault-tolerant routing algorithm for 3d-network-on-chip (3d-noc). J Supercomput 66(3):1507–1532

    Article  Google Scholar 

  3. Ahmed AB, Abdallah AB (2014) Graceful deadlock-free fault-tolerant routing algorithm for 3d network-on-chip architectures. J Parallel Distrib Comput 74(4):2229–2240.

    Article  Google Scholar 

  4. Akopyan F, Sawada J, Cassidy A, Alvarez-Icaza R, Arthur J, Merolla P, Imam N, Nakamura Y, Datta P, Nam G, Taba B, Beakes M, Brezzo B, Kuang JB, Manohar R, Risk WP, Jackson B, Modha DS (2015) Truenorth: design and tool flow of a 65 mW 1 million neuron programmable neurosynaptic chip. IEEE Trans Comput Aided Des Integr Circuits Syst 34(10):1537–1557

    Article  Google Scholar 

  5. Bayraktaroglu I, Ogrenci AS, Dundar G, Balkir S, Alpaydin E (1997) Annsys (an analog neural network synthesis system). In: Proceedings of international conference on neural networks (ICNN’97), vol 2, pp 910–915

    Google Scholar 

  6. Ben Khalifa K, Girau B, Alexandre F, Bedoui MH (2004) Parallel FPGA implementation of self-organizing maps. In: Proceedings of the 16th international conference on microelectronics, 2004. ICM 2004, pp 709–712

    Google Scholar 

  7. Benjamin BV, Gao P, McQuinn E, Choudhary S, Chandrasekaran AR, Bussat JM, Alvarez-Icaza R, Arthur JV, Merolla PA, Boahen K (2014) Neurogrid: a mixed-analog-digital multichip system for large-scale neural simulations. Proc IEEE 102(5):699–716

    Article  Google Scholar 

  8. Berg Y, Sigvartsen RL, Lande TS, Abusland A (1996) An analog feed-forward neural network with on-chip learning. Analog Integr Circuits Signal Process 9(1):65–75

    Article  Google Scholar 

  9. Bhaskar A (2017) Design and analysis of low power SRAM cells. In: 2017 Innovations in power and advanced computing technologies (i-PACT), pp 1–5

    Google Scholar 

  10. Boahen KA (1998) Communicating neuronal ensembles between neuromorphic chips. Springer US, Boston, MA, pp 229–259

    Google Scholar 

  11. Burkitt N (2006) A review of the integrate-and-fire neuron model: I. homogeneous synaptic input. Biol Cybern 95(1):1–19

    Article  MathSciNet  MATH  Google Scholar 

  12. Carvajal G, Figueroa M, Sbarbaro D, Valenzuela W (2011) Analysis and compensation of the effects of analog VLSI arithmetic on the LMS algorithm. IEEE Trans Neural Netw 22(7):1046–1060

    Article  Google Scholar 

  13. Charles G, Gordon C, Alexander WE (2008) An implementation of a biological neural model using analog-digital integrated circuits. In: 2008 IEEE international behavioral modeling and simulation workshop, pp 78–83

    Google Scholar 

  14. Cheung K, Schultz SR, Luk W (2012) A large-scale spiking neural network accelerator for FPGA systems. In: International conference on artificial neural networks. Springer, Berlin, pp 113–120

    Google Scholar 

  15. Choi M, Salam FMA (1991) Implementation of feedforward artificial neural nets with learning using standard CMOS VLSI technology. In: IEEE international symposium on circuits and systems 1991, vol 3, pp 1509–1512

    Google Scholar 

  16. Dan Y, Ming Poo M (2004) Spike timing-dependent plasticity of neural circuits. Neuron 44(1):23–30

    Article  Google Scholar 

  17. Davies M et al (2018) Loihi: a neuromorphic manycore processor with on-chip learning. IEEE Micro 38(1):82–99

    Article  Google Scholar 

  18. Deiss SR, Douglas RJ, Whatley AM (1999) Pulsed neural networks. In: A pulse-coded communications infrastructure for neuromorphic systems. MIT Press, Cambridge, MA, pp 157–178

    Google Scholar 

  19. Diehl PU, Neil D, Binas J, Cook M, Liu S, Pfeiffer M (2015) Fast-classifying, high-accuracy spiking deep networks through weight and threshold balancing. In: 2015 International joint conference on neural networks (IJCNN), pp 1–8

    Google Scholar 

  20. Farquhar E, Gordon C, Hasler P (2006) A field programmable neural array. In: 2006 IEEE international symposium on circuits and systems, p 4117

    Google Scholar 

  21. Frenkel C, Lefebvre M, Legat J, Bol D (2019) A 0.086-mm2 12.7-pj/sop 64k-synapse 256-neuron online-learning digital spiking neuromorphic processor in 28-nm CMOS. IEEE Trans Biomed Circuits Syst 13(1):145–158

    Google Scholar 

  22. Furber S (2016) Large-scale neuromorphic computing systems. J Neural Eng 13(5):051001

    Article  Google Scholar 

  23. Furber SB, Galluppi F, Temple S, Plana LA (2014) The spinnaker project. Proc IEEE 102(5):652–665

    Article  Google Scholar 

  24. Gerstner W, Kistler W (2002) Spiking neuron models: single neurons, populations, plasticity. Cambridge University Press, Cambridge

    Book  MATH  Google Scholar 

  25. Glackin B, McGinnity TM, Maguire LP, Wu Q, Belatreche A (2005) A novel approach for the implementation of large scale spiking neural networks on FPGA hardware. In: International work-conference on artificial neural networks. Springer, Berlin, pp 552–563

    Google Scholar 

  26. Hahnloser RHR, Sarpeshkar R, Mahowald MA, Douglas RJ, Seung HS (2000) Digital selection and analogue amplification coexist in a cortex-inspired silicon circuit. Nature 405(6789):947–951

    Article  Google Scholar 

  27. Haykin S (1998) Neural networks: a comprehensive foundation, 2nd edn. Prentice Hall PTR, Upper Saddle River, NJ

    Google Scholar 

  28. He K, Zhang X, Ren S, Sun J (2016) Deep residual learning for image recognition. In: Proceedings of the IEEE conference on computer vision and pattern recognition, pp 770–778

    Google Scholar 

  29. Hodgkin A, Huxley A (1952) A quantitative description of membrane current and its application to conduction and excitation in nerve. J Physiol 117:500–544

    Article  Google Scholar 

  30. Izhikevich EM (2003) Simple model of spiking neurons. IEEE Trans Neural Netw 14(6):1569–1572

    Article  MathSciNet  Google Scholar 

  31. Izhikevich EM (2004) Which model to use for cortical spiking neurons? IEEE Trans Neural Netw 15(5):1063–1070

    Article  Google Scholar 

  32. Jin X, Rast A, Galluppi F, Davies S, Furber S (2010) Implementing spike-timing-dependent plasticity on spinnaker neuromorphic hardware. In: The 2010 international joint conference on neural networks (IJCNN), pp 1–8

    Google Scholar 

  33. Kim D, Kung J, Chai S, Yalamanchili S, Mukhopadhyay S (2016) Neurocube: a programmable digital neuromorphic architecture with high-density 3d memory. In: 2016 ACM/IEEE 43rd annual international symposium on computer architecture (ISCA), pp 380–392

    Google Scholar 

  34. Krizhevsky A, Sutskever I, Hinton GE (2012) Imagenet classification with deep convolutional neural networks. Adv Neural Inf Process Syst 25:1097–1105

    Google Scholar 

  35. Kumar S, Forward K, Palaniswami M (1996) Performance evaluation of a RISC neuro-processor for neural networks. In: Proceedings of 3rd international conference on high performance computing (HiPC), pp 351–356

    Google Scholar 

  36. Liu M, Yu H, Wang W (2009) FPAA based on integration of CMOS and nanojunction devices for neuromorphic applications. In: Cheng M (ed) Nano-Net. Springer, Berlin, pp 44–48

    Chapter  Google Scholar 

  37. Liu W, Anguelov D, Erhan D, Szegedy C, Reed S, Fu C-Y, Berg AC (2016) SSD: single shot multibox detector. In: European conference on computer vision. Springer, Berlin, pp 21–37

    Google Scholar 

  38. Maguire LP, McGinnity TM, Glackin B, Ghani A, Belatreche A, Harkin J (2007) Challenges for large-scale implementations of spiking neural networks on FPGAs. Neurocomputing 71(1–3):13–29

    Article  Google Scholar 

  39. Merolla P, Arthur J, Alvarez R, Bussat J, Boahen K (2014) A multicast tree router for multichip neuromorphic systems. IEEE Trans Circuits Syst I Regul Pap 61(3):820–833

    Article  Google Scholar 

  40. Mortara A, Vittoz EA, Venier P (1995) A communication scheme for analog VLSI perceptive systems. IEEE J Solid-State Circuits 30(6):660–669

    Article  Google Scholar 

  41. Nawrocki RA, Shaheen SE, Voyles RM (2011) A neuromorphic architecture from single transistor neurons with organic bistable devices for weights. In: The 2011 international joint conference on neural networks, July 2011, pp 450–456

    Google Scholar 

  42. Schemmel J, Grübl A, Hartmann S, Kononov A, Mayr C, Meier K, Millner S, Partzsch J, Schiefer S, Scholze S, Schüffny R, Schwartz M (2012) Live demonstration: a scaled-down version of the brainscales wafer-scale neuromorphic system. In: 2012 IEEE international symposium on circuits and systems, May 2012, p 702

    Google Scholar 

  43. Seo J, Brezzo B, Liu Y, Parker BD, Esser SK, Montoye RK, Rajendran B, Tierno JA, Chang L, Modha DS, Friedman DJ (2011) A 45nm CMOS neuromorphic chip with a scalable architecture for learning in networks of spiking neurons. In: 2011 IEEE custom integrated circuits conference (CICC), Sept 2011, pp 1–4

    Google Scholar 

  44. Song S, Miller KD, Abbott LF (2000) Competitive Hebbian learning through spike-timing-dependent synaptic plasticity. Nat Neurosci 3(9):919–926

    Article  Google Scholar 

  45. Suri M, Bichler O, Querlioz D, Cueto O, Perniola L, Sousa V, Vuillaume D, Gamrat C, DeSalvo B (2011) Phase change memory as synapse for ultra-dense neuromorphic systems: application to complex visual pattern extraction. In: 2011 International electron devices meeting, Dec 2011, pp 4.4.1–4.4.4

    Google Scholar 

  46. Tamukoh H, Sekine M (2010) A dynamically reconfigurable platform for self-organizing neural network hardware. In: Wong KW, Mendis BSU, Bouzerdoum A (eds) Neural information processing. models and applications. Springer, Berlin, pp 439–446

    Google Scholar 

  47. Vincent AF, Larroque J, Locatelli N, Ben Romdhane N, Bichler O, Gamrat C, Zhao WS, Klein J, Galdin-Retailleau S, Querlioz D (2015) Spin-transfer torque magnetic memory as a stochastic memristive synapse for neuromorphic systems. IEEE Trans Biomed Circuits Syst 9(2):166–174

    Article  Google Scholar 

  48. Vu TH, Murakami R, Okuyama Y, Abdallah AB (2018) Efficient optimization and hardware acceleration of CNNs towards the design of a scalable neuro inspired architecture in hardware. In: 2018 IEEE international conference on big data and smart computing (BigComp), Jan 2018, pp 326–332

    Google Scholar 

  49. Vu TH, Ikechukwu OM, Ben Abdallah A (2019) Fault-tolerant spike routing algorithm and architecture for three dimensional NoC-based neuromorphic systems. IEEE Access 7:90436–90452

    Article  Google Scholar 

  50. Vu TH, Okuyama Y, Abdallah AB (2019) Comprehensive analytic performance assessment and k-means based multicast routing algorithm and architecture for 3d-NoC of spiking neurons. ACM J Emerg Technol Comput Syst 15(4):1–28

    Article  Google Scholar 

  51. Yang Z, Murray A, Worgotter F, Cameron K, Boonsobhak V (2006) A neuromorphic depth-from-motion vision model with STDP adaptation. IEEE Trans Neural Netw 17(2):482–495

    Article  Google Scholar 

  52. Yin S, Venkataramanaiah SK, Chen GK, Krishnamurthy R, Cao Y, Chakrabarti C, Seo J (2017) Algorithm and hardware design of discrete-time spiking neural networks based on back propagation with binary activations. CoRR, abs/1709.06206

    Google Scholar 

  53. Yu T, Cauwenberghs G (2009) Analog VLSI neuromorphic network with programmable membrane channel kinetics. In: 2009 IEEE international symposium on circuits and systems, May 2009, pp 349–352

    Google Scholar 

  54. Yu S, Wu Y, Wong H-SP (2011) Investigating the switching dynamics and multilevel capability of bipolar metal oxide resistive switching memory. Appl Phys Lett 98(10):103514

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding authors

Correspondence to Abderazek Ben Abdallah or Khanh N. Dang .

Rights and permissions

Reprints and permissions

Copyright information

© 2022 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Ben Abdallah, A., N. Dang, K. (2022). Introduction to Neuromorphic Computing Systems. In: Neuromorphic Computing Principles and Organization. Springer, Cham. https://doi.org/10.1007/978-3-030-92525-3_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-92525-3_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-92524-6

  • Online ISBN: 978-3-030-92525-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics