Skip to main content

Hardware Trojan Localization: Modeling and Empirical Approach

  • Chapter
  • First Online:
Behavioral Synthesis for Hardware Security

Abstract

This chapter presents mechanisms to localize Hardware Trojan (HT) in modern Integrated Circuit (IC) supply chain to ensure trustworthiness of computing infrastructure. We employ both analytical and estimation techniques to validate the localization. Our estimation framework is built upon characterizing technology mapped arithmetic module architectures. Such analysis does not require any golden design while simultaneously raises the abstraction to Register-Transfer Level (RTL). We present an analytical modeling technique that can identify rare activity region and complement traditional testing-based detection mechanism. Our experimental evaluation has been conducted on six adders and four multiplier architectures. On average, we observe less than 2% Mean Square Error (MSE) as we evaluate the architectures of different bit-width and correlations.

This work was done as part of the first author’s dissertation research at USF.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 79.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Deloitte: Semiconductors - the Next Wave. https://www2.deloitte.com/content/dam/Deloitte/cn/Documents/technology-media-telecommunications/deloitte-cn-tmt-semiconductors-the-next-wave-en-190422.pdf (2019)

  2. Liu, B., Wang, B.: Embedded Reconfigurable Logic for ASIC Design Obfuscation Against Supply Chain Attacks. In: 2014 Design, Automation Test in Europe Conference Exhibition (DATE), pp. 1–6 (2014). https://doi.org/10.7873/DATE.2014.256

  3. Guin, U., Huang, K., DiMase, D., Carulli, J.M., Tehranipoor, M., Makris, Y.: Counterfeit Integrated Circuits: A Rising Threat in the Global Semiconductor Supply Chain. Proceedings of the IEEE 102(8), 1207–1228 (2014). https://doi.org/10.1109/JPROC.2014.2332291

    Article  Google Scholar 

  4. Rostami, M., Koushanfar, F., Karri, R.: A Primer on Hardware Security: Models, Methods, and Metrics. Proceedings of the IEEE 102(8), 1283–1295 (2014). https://doi.org/10.1109/JPROC.2014.2335155

    Article  Google Scholar 

  5. Xiao, K., Forte, D., Jin, Y., Karri, R., Bhunia, S., Tehranipoor, M.: Hardware Trojans: Lessons Learned After One Decade of Research. ACM Transactions on Design Automation of Electronic Systems 22(1), 6:1–6:23 (2016). https://doi.org/10.1145/2906147

  6. Hicks, M., Finnicum, M., King, S.T., Martin, M.M.K., Smith, J.M.: Overcoming an Untrusted Computing Base: Detecting and Removing Malicious Hardware Automatically. In: 2010 IEEE Symposium on Security and Privacy, pp. 159–172 (2010). https://doi.org/10.1109/SP.2010.18

  7. Waksman, A., Suozzo, M., Sethumadhavan, S.: FANCI: Identification of Stealthy Malicious Logic Using Boolean Functional Analysis. In: Proceedings of the 2013 ACM CCS, CCS ’13, pp. 697–708. ACM, New York, NY, USA (2013). https://doi.org/10.1145/2508859.2516654

  8. Sturton, C., Hicks, M., Wagner, D., King, S.T.: Defeating UCI: Building Stealthy and Malicious Hardware. In: Proceedings of the 2011 IEEE Security and Privacy, SP ’11, pp. 64–77. IEEE Computer Society, Washington, DC, USA (2011). https://doi.org/10.1109/SP.2011.32

  9. Hoque, T., Narasimhan, S., Wang, X., S, M., Bhunia, S.: Golden-Free Hardware Trojan Detection with High Sensitivity Under Process Noise. Journal of Electronic Testing 33(1), 107–124 (2017)

    Google Scholar 

  10. Narasimhan, S., Du, D., Chakraborty, R.S., Paul, S., Wolff, F.G., Papachristou, C.A., Roy, K., Bhunia, S.: Hardware Trojan Detection by Multiple-Parameter Side-Channel Analysis. IEEE Transactions on Computers 62(11), 2183–2195 (2013)

    Article  MathSciNet  Google Scholar 

  11. Narasimhan, S., Bhunia, S.: Hardware Trojan Detection, pp. 339–364. Springer New York, New York, NY (2012). https://doi.org/10.1007/978-1-4419-8080-9_15

  12. Bilzor, M., Huffmire, T., Irvine, C., Levin, T.: Security Checkers: Detecting Processor Malicious Inclusions at Runtime. In: 2011 IEEE International Symposium on Hardware-Oriented Security and Trust, pp. 34–39 (2011). https://doi.org/10.1109/HST.2011.5954992

  13. Waksman, A., Sethumadhavan, S.: Silencing Hardware Backdoors. In: 2011 IEEE Symposium on Security and Privacy, pp. 49–63 (2011). https://doi.org/10.1109/SP.2011.27

  14. Nedospasov, D., Seifert, J., Schlosser, A., Orlic, S.: Functional Integrated Circuit Analysis. In: 2012 IEEE International Symposium on Hardware-Oriented Security and Trust, pp. 102–107 (2012). https://doi.org/10.1109/HST.2012.6224328

  15. Torrance, R., James, D.: The State-of-the-Art in Semiconductor Reverse Engineering. In: 2011 48th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 333–338 (2011)

    Google Scholar 

  16. Chakraborty, R., Wolff, F., Paul, S., Papachristou, C., Bhunia, S.: MERO: A Statistical Approach for Hardware Trojan Detection. In: CHES 2009, pp. 396–410. Springer, Berlin, Heidelberg (2009)

    Google Scholar 

  17. Islam, S.A., Sah, L.K., Katkoori, S.: A Framework for Hardware Trojan Vulnerability Estimation and Localization in RTL Designs. Journal of Hardware and Systems Security 4(3), 246–262 (2020)

    Article  Google Scholar 

  18. Saha, S., Chakraborty, R.S., Nuthakki, S.S., Anshul, Mukhopadhyay, D.: Improved Test Pattern Generation for Hardware Trojan Detection Using Genetic Algorithm and Boolean Satisfiability. In: CHES 2015, pp. 577–596 (2015)

    Google Scholar 

  19. Huang, Y., Bhunia, S., Mishra, P.: MERS: Statistical Test Generation for Side-Channel Analysis Based Trojan Detection. In: Proceedings of the 2016 ACM SIGSAC Conference on Computer and Communications Security, CCS ’16, pp. 130–141. ACM, New York, NY, USA (2016). https://doi.org/10.1145/2976749.2978396

  20. Li, H., Liu, Q.: Hardware Trojan detection acceleration based on word-level statistical properties management. 2014 International Conference on Field-Programmable Technology (FPT) pp. 153–160 (2014)

    Google Scholar 

  21. Çakir, B., Malik, S.: Hardware Trojan Detection for Gate-level ICs Using Signal Correlation Based Clustering. In: Proceedings of the 2015 Design, Automation and Test in Europe, DATE ’15, pp. 471–476. San Jose, CA, USA (2015). http://dl.acm.org/citation.cfm?id=2755753.2755860

  22. Jha, S., Jha, S.K.: Randomization Based Probabilistic Approach to Detect Trojan Circuits. In: 2008 11th IEEE High Assurance Systems Engineering Symposium, pp. 117–124 (2008). https://doi.org/10.1109/HASE.2008.37

  23. Salmani, H., Tehranipoor, M., Plusquellic, J.: A Novel Technique for Improving Hardware Trojan Detection and Reducing Trojan Activation Time. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 20(1), 112–125 (2012). https://doi.org/10.1109/TVLSI.2010.2093547

    Article  Google Scholar 

  24. Salmani, H., Tehranipoor, M.: Analyzing Circuit Vulnerability to Hardware Trojan Insertion at the Behavioral Level. In: 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS), pp. 190–195 (2013). https://doi.org/10.1109/DFT.2013.6653605

  25. Piccolboni, L., Menon, A., Pravadelli, G.: Efficient Control-Flow Subgraph Matching for Detecting Hardware Trojans in RTL Models. ACM Trans. Embedded Comput. Syst. 16(5s), 137:1–137:19 (2017). https://doi.org/10.1145/3126552

  26. Zhang, J., Yuan, F., Xu, Q.: DeTrust: Defeating Hardware Trust Verification with Stealthy Implicitly-Triggered Hardware Trojans. In: Proceedings of the 2014 ACM CCS, CCS ’14, pp. 153–166. ACM, New York, NY, USA (2014). https://doi.org/10.1145/2660267.2660289

  27. Nahiyan, A., Sadi, M., Vittal, R., Contreras, G., Forte, D., Tehranipoor, M.: Hardware Trojan Detection through Information Flow Security Verification. In: 2017 IEEE International Test Conference (ITC), pp. 1–10 (2017). https://doi.org/10.1109/TEST.2017.8242062

  28. Ardeshiricham, A., Hu, W., Marxen, J., Kastner, R.: Register Transfer Level Information Flow Tracking for Provably Secure Hardware Design. In: Design, Automation Test in Europe Conference Exhibition (DATE), 2017, pp. 1691–1696 (2017). https://doi.org/10.23919/DATE.2017.7927266

    Google Scholar 

  29. Hu, W., Mao, B., Oberg, J., Kastner, R.: Detecting Hardware Trojans with Gate-Level Information-Flow Tracking. Computer 49(8), 44–52 (2016). https://doi.org/10.1109/MC.2016.225

    Article  Google Scholar 

  30. Jin, Y., Yang, B., Makris, Y.: Cycle-Accurate Information Assurance by Proof-Carrying Based Signal Sensitivity Tracing. In: 2013 IEEE International Symposium on Hardware-Oriented Security and Trust (HOST), pp. 99–106 (2013). https://doi.org/10.1109/HST.2013.6581573

  31. Rajendran, J., Vedula, V., Karri, R.: Detecting Malicious Modifications of Data in Third-Party Intellectual Property Cores. In: 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6 (2015). https://doi.org/10.1145/2744769.2744823

  32. JasperGold®. https://www.cadence.com/en_US/home/tools/system-design-and-verification/formal-and-static-verification/jasper-gold-verification-platform.html

  33. Ramprasad, S., Shanbha, N.R., Hajj, I.N.: Analytical Estimation of Signal Transition Activity from Word-Level Statistics. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 16(7), 718–733 (1997). https://doi.org/10.1109/43.644033

    Article  Google Scholar 

  34. Bobba, S., Hajj, I.N., Shanbhag, N.R.: Analytical Expressions for Average Bit Statistics of Signal Lines in DSP Architectures. In: Circuits and Systems, 1998. ISCAS ’98. Proceedings of the 1998 IEEE International Symposium on, vol. 6, pp. 33–36 vol.6 (1998). https://doi.org/10.1109/ISCAS.1998.705205

  35. Landman, P.E., Rabaey, J.M.: Architectural Power Analysis: The Dual Bit Type Method. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 3(2), 173–187 (1995). https://doi.org/10.1109/92.386219

    Article  Google Scholar 

  36. Satyanarayana, J.H., Parhi, K.K.: Theoretical Analysis of Word-Level Switching Activity in the Presence of Glitching and Correlation. In: Proceedings Ninth Great Lakes Symposium on VLSI, pp. 46–49 (1999). https://doi.org/10.1109/GLSV.1999.757374

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sheikh Ariful Islam .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Islam, S.A., Katkoori, S. (2022). Hardware Trojan Localization: Modeling and Empirical Approach. In: Katkoori, S., Islam, S.A. (eds) Behavioral Synthesis for Hardware Security. Springer, Cham. https://doi.org/10.1007/978-3-030-78841-4_10

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-78841-4_10

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-78840-7

  • Online ISBN: 978-3-030-78841-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics