Skip to main content

Introduction and Background

  • Chapter
  • First Online:
Behavioral Synthesis for Hardware Security

Abstract

In today’s information age, it has become a commonplace to hear about security breaches almost on a daily basis in various contexts such as financial companies, social media, defense companies, etc. In a truly globally connected world through the modern Internet, bad actors have ready access to systems to steal sensitive information and cause serious damage.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 16.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 139.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

References

  1. Kumar, R.: Fabless Semiconductor Implementation. McGraw-Hill Education, New York (2008)

    Google Scholar 

  2. Hackworth, M.L.: Fabless or IDM? What the future holds for both. IEEE Des. Comput. 20(6), 76–85 (2003). https://doi.org/10.1109/MDT.2003.1246166

    Google Scholar 

  3. Edwards, C.: Fabless future for venture capital. IEE Rev. 51(6), 22 (2005). https://doi.org/10.1049/ir:20050601

    Article  Google Scholar 

  4. Kumar, R.: Simply fabless! IEEE Solid-State Circuits Mag. 3(4), 8–14 (2011). https://doi.org/10.1109/MSSC.2011.942448

    Article  Google Scholar 

  5. Shelton, J., Pepper, R.: Look, Ma–No Fabs! IEEE Solid-State Circuits Mag. 3(4), 25–32 (2011). https://doi.org/10.1109/MSSC.2011.942451

    Article  Google Scholar 

  6. Adee, S.: The hunt for the kill switch. IEEE Spectr. 45(5), 34–39 (2008). https://doi.org/10.1109/MSPEC.2008.4505310

    Article  Google Scholar 

  7. Collins, D.R.: Trust in integrated circuits. https://apps.dtic.mil/dtic/tr/fulltext/u2/a482032.pdf (2008)

  8. DARPA: Integrity and reliability of integrated circuits (IRIS). https://www.darpa.mil/program/integrity-and-reliability-of-integrated-circuits (2012)

  9. Bhunia, S., Abramovici, M., Agarwal, D., Bradley, P., Hsiao, M.S., Plusquellic, J., Tehranipoor, M.: Protection against hardware trojan attacks: towards a comprehensive solution. IEEE Des. Test 30, 6–17 (2013)

    Article  Google Scholar 

  10. Tehranipoor, M., Koushanfar, F.: A survey of hardware trojan taxonomy and detection. IEEE Des. Test Comput. 27(1), 10–25 (2010). https://doi.org/10.1109/MDT.2010.7

    Article  Google Scholar 

  11. Koushanfar, F.: Hardware metering: a survey. In: Introduction to Hardware Security and Trust, pp. 103–122. Springer, New York (2012)

    Google Scholar 

  12. Quadir, S.E., Chen, J., Forte, D., Asadizanjani, N., Shahbazmohamadi, S., Wang, L., Chandy, J., Tehranipoor, M.: A survey on chip to system reverse engineering. J. Emerg. Technol. Comput. Syst. 13(1) (2016). https://doi.org/10.1145/2755563

  13. Rostami, M., Koushanfar, F., Karri, R.: A primer on hardware security: models, methods, and metrics. Proc. IEEE 102(8), 1283–1295 (2014). https://doi.org/10.1109/JPROC.2014.2335155

    Article  Google Scholar 

  14. Xiao, K., Forte, D., Jin, Y., Karri, R., Bhunia, S., Tehranipoor, M.: Hardware trojans: lessons learned after one decade of research. ACM Trans. Des. Autom. Electron. Syst. 22(1) (2016). https://doi.org/10.1145/2906147

  15. Valea, E., Da Silva, M., Di Natale, G., Flottes, M., Rouzeyre, B.: A survey on security threats and countermeasures in IEEE test standards. IEEE Des. Test 36(3), 95–116 (2019). https://doi.org/10.1109/MDAT.2019.2899064

    Article  Google Scholar 

  16. Chang, C., Zheng, Y., Zhang, L.: A retrospective and a look forward: fifteen years of physical unclonable function advancement. IEEE Circuits Syst. Mag. 17(3), 32–62 (2017). https://doi.org/10.1109/MCAS.2017.2713305

    Article  Google Scholar 

  17. Tehranipoor, M., Wang, C.: Introduction to Hardware Security and Trust. Springer, Berlin (2011)

    Google Scholar 

  18. Cheng, T.: IEEE Std 1500 enables core-based SoC test development. IEEE Des. Test Comput. 26(1), 4–4 (2009). https://doi.org/10.1109/MDT.2009.11

    Article  Google Scholar 

  19. Benini, L., De Micheli, G.: Networks on chips: a new SoC paradigm. Computer 35(1), 70–78 (2002). https://doi.org/10.1109/2.976921

    Article  Google Scholar 

  20. Bergamaschi, R.A., Bhattacharya, S., Wagner, R., Fellenz, C., Muhlada, M., White, F., Daveau, J., Lee, W.R.: Automating the design of SOCs using cores. IEEE Des. Test Comput. 18(5), 32–45 (2001). https://doi.org/10.1109/54.953270

    Article  Google Scholar 

  21. Saxby, R., Harrod, P.: Test in the emerging intellectual property business. IEEE Des. Test Comput. 16(1), 16–18 (1999). https://doi.org/10.1109/54.748800

    Article  Google Scholar 

  22. Saxby, R., Harrod, P.: Semiconductor Intellectual Property (IP) Market with COVID-19 Impact Analysis by Design IP, IP Core, IP Source, End User, Vertical (Consumer Electronics, Telecom & Data Centers, Automotive, Commercial, Industrial), and Geography - Global Forecast to 2025. https://www.researchandmarkets.com/reports/5185336/semiconductor-intellectual-property-ip-market. October 2020

  23. Gajski, D., Dutt, N., Wu, A.C-H., Lin, S.Y-L.: High Level Synthesis: Introduction to Chip and System Design. Kluwer Academic, Boston (1992)

    Book  Google Scholar 

  24. De Micheli, G.: Synthesis and optimization of digital circuits. McGraw Hill, New York (1994)

    Google Scholar 

  25. Paulin, P.G., Knight, J.P.: Force-directed scheduling for the behavioral synthesis of ASICs. IEEE Trans. Comput. Aided Des. Circuits Syst. 8(6), 661–679 (1989)

    Article  Google Scholar 

  26. Gopalakrishnan, C., Katkoori, S.: Resource allocation and binding approach for low leakage power. In: Proceedings of 16th International Conference on VLSI Design, pp. 297–302 (2003). https://doi.org/10.1109/ICVD.2003.1183153

  27. Katkoori, S., Roy, J., Vemuri, R.: A hierarchical register optimization algorithm for behavioral synthesis. In: Proceedings of 9th International Conference on VLSI Design, pp. 126–132 (1996). https://doi.org/10.1109/ICVD.1996.489471

  28. Vemuri, R., Katkoori, S., Kaul, M., Roy, J.: An efficient register optimization algorithm for high-level synthesis from hierarchical behavioral specifications. ACM Trans. Des. Autom. Electron. Syst. 7(1), 189–216 (2002). https://doi.org/10.1145/504914.504923

    Article  Google Scholar 

  29. Katkoori, S., Vemuri, R.: Accurate resource estimation algorithms for behavioral synthesis. In: Proceedings Ninth Great Lakes Symposium on VLSI, pp. 338–339 (1999). https://doi.org/10.1109/GLSV.1999.757449

  30. Natesan, V., Gupta, A., Katkoori, S., Bhatia, D., Vemuri, R.: A constructive method for data path area estimation during high-level VLSI synthesis. In: Proceedings of ASP-DAC ’97: Asia and South Pacific Design Automation Conference, pp. 509–515 (1997). https://doi.org/10.1109/ASPDAC.1997.600319

  31. Fernando, P., Katkoori, S.: An elitist non-dominated sorting based genetic algorithm for simultaneous area and wirelength minimization in VLSI floorplanning. In: 21st International Conference on VLSI Design (VLSID 2008), pp. 337–342 (2008). https://doi.org/10.1109/VLSI.2008.97

  32. Katkoori, S., Vemuri, R.: Simulation based architectural power estimation for PLA-Based Controllers. In: Proceedings of 1996 International Symposium on Low Power Electronics and Design, pp. 121–124 (1996). https://doi.org/10.1109/LPE.1996.547492

  33. Pendyala, S., Katkoori, S.: Interval arithmetic based input vector control for RTL subthreshold leakage minimization. In: 2012 IEEE/IFIP 20th International Conference on VLSI and System-on-Chip (VLSI-SoC), pp. 141–146 (2012). https://doi.org/10.1109/VLSI-SoC.2012.7332091

  34. Gopalakrishnan, C., Katkoori, S.: Tabu search based behavioral synthesis of low leakage datapaths. In: IEEE Computer Society Annual Symposium on VLSI, pp. 260–261 (2004). https://doi.org/10.1109/ISVLSI.2004.1339548

  35. Gopalakrishnan, C., Katkoori, S.: KnapBind: an area-efficient binding algorithm for low-leakage datapaths. In: Proceedings 21st International Conference on Computer Design, pp. 430–435 (2003). https://doi.org/10.1109/ICCD.2003.1240935

  36. Pendyala, S., Katkoori, S.: Self similarity and interval arithmetic based leakage optimization in RTL datapaths. In: 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC), pp. 1–6 (2014). https://doi.org/10.1109/VLSI-SoC.2014.7004171

  37. Kumar, N., Katkoori, S., Rader, L., Vemuri, R.: Profile-driven behavioral synthesis for low-power VLSI systems. IEEE Des. Test Comput. 12(3), 70 (1995). https://doi.org/10.1109/MDT.1995.466383

    Article  Google Scholar 

  38. Katkoori, S., Kumar, N., Vemuri, R.: High level profiling based low power synthesis technique. In: Proceedings of ICCD ’95 International Conference on Computer Design. VLSI in Computers and Processors, pp. 446–453 (1995). https://doi.org/10.1109/ICCD.1995.528906

  39. Gopalakrishnan, C., Katkoori, S.: Power optimization of combinational circuits by input transformations. In: Proceedings First IEEE International Workshop on Electronic Design, Test and Applications ’2002, pp. 154–158 (2002). https://doi.org/10.1109/DELTA.2002.994605

  40. Gupta, S., Katkoori, S.: Force-directed scheduling for dynamic power optimization. In: Proceedings IEEE Computer Society Annual Symposium on VLSI. New Paradigms for VLSI Systems Design. ISVLSI 2002, pp. 75–80 (2002). https://doi.org/10.1109/ISVLSI.2002.1016878

    Google Scholar 

  41. Katkoori, S., Vemuri, R.: Scheduling for low power under resource and latency constraints. In: 2000 IEEE International Symposium on Circuits and Systems (ISCAS), vol. 2, pp. 53–56 (2000). https://doi.org/10.1109/ISCAS.2000.856256

  42. Gupta, S., Katkoori, S.: Intrabus crosstalk estimation using word-level statistics. In: Proceedings of 17th International Conference on VLSI Design, pp. 449–454 (2004). https://doi.org/10.1109/ICVD.2004.1260963

  43. Gupta, S., Katkoori, S., Sankaran, S.: Floorplan-based crosstalk estimation for macrocell-based designs. In: 18th International Conference on VLSI Design Held Jointly with 4th International Conference on Embedded Systems Design, pp. 463–468 (2005). https://doi.org/10.1109/ICVD.2005.100

  44. Gupta, S., Katkoori, S.: Intrabus crosstalk estimation using word-level statistics. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(3), 469–478 (2005). https://doi.org/10.1109/TCAD.2004.842799

    Article  Google Scholar 

  45. Sankaran, H., Katkoori, S.: Bus binding, re-ordering, and encoding for crosstalk-producing switching activity minimization during high level synthesis. In: 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008), pp. 454–457 (2008). https://doi.org/10.1109/DELTA.2008.114

  46. Sankaran, H., Katkoori, S.: On-chip dynamic worst-case crosstalk pattern detection and elimination for bus-based macro-cell designs. In: 2009 10th International Symposium on Quality Electronic Design, pp. 33–39 (2009). https://doi.org/10.1109/ISQED.2009.4810266

  47. Sankaran, H., Katkoori, S.: Simultaneous scheduling, allocation, binding, re-ordering, and encoding for crosstalk pattern minimization during high level synthesis. In: 2008 IEEE Computer Society Annual Symposium on VLSI, pp. 423–428 (2008). https://doi.org/10.1109/ISVLSI.2008.95

  48. Sankaran, H., Katkoori, S.: Simultaneous scheduling, allocation, binding, re-ordering, and encoding for crosstalk pattern minimization during high-level synthesis. IEEE Trans. Very Large Scale Integr. Syst. 19(2), 217–226 (2011). https://doi.org/10.1109/TVLSI.2009.2031864

    Article  Google Scholar 

  49. Krishnan, V., Katkoori, S.: Simultaneous peak temperature and average power minimization during behavioral synthesis. In: 2009 22nd International Conference on VLSI Design, pp. 419–424 (2009). https://doi.org/10.1109/VLSI.Design.2009.78

  50. Krishnan, V., Katkoori, S.: TABS: temperature-aware layout-driven behavioral synthesis. IEEE Trans. Very Large Scale Integr. Syst. 18(12), 1649–1659 (2010). https://doi.org/10.1109/TVLSI.2009.2026047

    Article  Google Scholar 

  51. Katkoori, S., Alupoaei, S.: RT-level interconnect optimization in DSM regime. In: Proceedings IEEE Computer Society Workshop on VLSI 2000. System Design for a System-on-Chip Era, pp. 143–148 (2000). https://doi.org/10.1109/IWV.2000.844543

  52. Alupoaei, S., Katkoori, S.: Net-based force-directed macrocell placement for wirelength optimization. IEEE Trans. Very Large Scale Integr. Syst. 10(6), 824–835 (2002). https://doi.org/10.1109/TVLSI.2002.808453

    Article  Google Scholar 

  53. Krishnan, V., Katkoori, S.: Clock period minimization with iterative binding based on stochastic wirelength estimation during high-level synthesis. In: 21st International Conference on VLSI Design (VLSID 2008), pp. 641–646 (2008). https://doi.org/10.1109/VLSI.2008.85

  54. Gopalan, R., Gopalakrishnan, C., Katkoori, S.: Leakage power driven behavioral synthesis of pipelined datapaths. In: IEEE Computer Society Annual Symposium on VLSI: New Frontiers in VLSI Design (ISVLSI’05), pp. 167–172 (2005). https://doi.org/10.1109/ISVLSI.2005.46

  55. Krishnan, V., Katkoori, S.: A genetic algorithm for the design space exploration of datapaths during high-level synthesis. IEEE Trans. Evol. Comput. 10(3), 213–229 (2006). https://doi.org/10.1109/TEVC.2005.860764

    Article  Google Scholar 

  56. Lewandowski, M., Katkoori, S.: A Darwinian genetic algorithm for state encoding based finite state machine watermarking. In: 20th International Symposium on Quality Electronic Design (ISQED), pp. 210–215 (2019). https://doi.org/10.1109/ISQED.2019.8697760

  57. Ferretti, L., Kwon, J., Ansaloni, G., Guglielmo, G.D., Carloni, L.P., Pozzi, L.: Leveraging prior knowledge for effective design-space exploration in high-level synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(11), 3736–3747 (2020). https://doi.org/10.1109/TCAD.2020.3012750

    Article  Google Scholar 

  58. Chen, J., Carrion Schafer, B.: Exploiting the benefits of high-level synthesis for thermal-aware VLSI design. In: 2019 IEEE 37th International Conference on Computer Design (ICCD), pp. 401–404 (2019). https://doi.org/10.1109/ICCD46524.2019.00062

  59. Schafer, B.C., Wang, Z.: High-level synthesis design space exploration: past, present, and future. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10), 2628–2639 (2020). https://doi.org/10.1109/TCAD.2019.2943570

    Article  Google Scholar 

  60. Pilato, C., Ferrandi, F.: Bambu: a modular framework for the high level synthesis of memory-intensive applications. In: 2013 23rd International Conference on Field Programmable Logic and Applications, pp. 1–4 (2013). https://doi.org/10.1109/FPL.2013.6645550

  61. de Bretagne-Sud, U.: GAUT - high level synthesis tool. http://hls-labsticc.univ-ubs.fr/. Accessed 23 Feb 2021

  62. Canis, A., Choi, J., Aldham, M., Zhang, V., Kammoona, A., Anderson, J.H., Brown, S., Czajkowski, T.: LegUp: high-level synthesis for FPGA-based processor/accelerator systems. In: Proceedings of the 19th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, FPGA ’11, pp. 33–36. Association for Computing Machinery, New York, NY (2011). https://doi.org/10.1145/1950413.1950423

  63. Xilinx: Vivado Design Suite - HLx Editions. https://www.xilinx.com/products/design-tools/vivado.html. Accessed 23 Feb 2021

  64. Seimens: Catapult - High-Level Synthesis. https://eda.sw.siemens.com/en-US/ic/ic-design/high-level-synthesis-and-verification-platform. Accessed 23 Feb 2021

  65. Cadence: Stratus High-Level Synthesis. https://www.cadence.com/en_US/home/tools/digital-design-and-signoff/synthesis/stratus-high-level-synthesis.html. Accessed 23 Feb 2021

  66. Nane, R., Sima, V., Pilato, C., Choi, J., Fort, B., Canis, A., Chen, Y.T., Hsiao, H., Brown, S., Ferrandi, F., Anderson, J., Bertels, K.: A survey and evaluation of FPGA high-level synthesis tools. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(10), 1591–1604 (2016). https://doi.org/10.1109/TCAD.2015.2513673

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Srinivas Katkoori .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2022 Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Katkoori, S., Islam, S.A. (2022). Introduction and Background. In: Katkoori, S., Islam, S.A. (eds) Behavioral Synthesis for Hardware Security. Springer, Cham. https://doi.org/10.1007/978-3-030-78841-4_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-78841-4_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-78840-7

  • Online ISBN: 978-3-030-78841-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics