Skip to main content

Design of Reliable NoC Architectures

  • Chapter
  • First Online:
Network-on-Chip Security and Privacy
  • 639 Accesses

Abstract

Communication network in the computing realm has always played a vital role towards providing an efficient processing environment. In the past decades, rapid miniaturization has introduced newer challenges and has further stressed on the importance of on-chip communication, as efficient communication between the components on the chip proves to be crucial factor in meeting the overall performance goals. A Network-on-Chip (NoC) has proven to be a trustworthy solution to meet the communication requirements of a System-on-Chip (SoC). This chapter investigates the factors affecting the reliability of an NoC architecture and presents efficient design paradigms to meet the reliability challenges.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

eBook
USD 16.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 99.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 129.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Similar content being viewed by others

Notes

  1. 1.

    A timing error is observed when the pipe stage delay in exceeds the clock period.

References

  1. D.K. Schroder, Negative bias temperature instability: what do we understand? Microelectron. Reliab. 47(6), 841–852 (2007)

    Article  Google Scholar 

  2. A.K. Mishra, N. Vijaykrishnan, C.R. Das, A case for heterogeneous on-chip interconnects for CMPs, in ACM SIGARCH Computer Architecture News (2011), pp. 389–400

    Google Scholar 

  3. K. Bhardwaj, K. Chakraborty, S. Roy, An MILP based aging aware routing algorithm for NoCs, in Proceedings of the IEEE/ACM Design Automation and Test in Europe (2012), pp. 326–331

    Google Scholar 

  4. E. Takeda, Y. Nakagome, H. Kume, S. Asai, New hot-carrier injection and device degradation in submicron MOSFETs. IEEE Proc. I (Solid-State Electron Dev.) 130(3), 144–150 (1983)

    Google Scholar 

  5. T. Ning, C. Osburn, H. Yu, Emission probability of hot electrons from silicon into silicon dioxide. J. Appl. Phys. 48(1), 286–293 (1977)

    Article  Google Scholar 

  6. P.E. Cottrell, R.R. Troutman, T.H. Ning, Hot-electron emission in n-channel IGFET’s. IEEE Trans. Electron Dev. 26(4), 520–533 (1979)

    Article  Google Scholar 

  7. B. Grot, S.W. Keckler, O. Mutlu, Preemptive virtual clock: a flexible, efficient, and cost-effective QOS scheme for networks-on-chip, in EEE/ACM International Symposium on 2009 (2009), pp. 268–279

    Google Scholar 

  8. J. Lee, M.C. Ng, K. Asanovic, Globally-synchronized frames for guaranteed quality-of-service in on-chip networks, in ISCA’08: Proceedings of the 35th Annual International Symposium on Computer Architecture (2008), pp. 89–100

    Google Scholar 

  9. Y. Hoskote, S.R. Vangal, A. Singh, N. Borkar, S. Borkar, A 5-GHz mesh interconnect for a teraflops processor. IEEE Micro 27(5), 51–61 (2007)

    Article  Google Scholar 

  10. D. Ernst, S. Das, S. Lee, D. Blaauw, T.M. Austin, T.N. Mudge, N.S. Kim, K. Flautner, Razor: circuit-level correction of timing errors for low-power operation. IEEE Micro 24(6), 10–20 (2004)

    Article  Google Scholar 

  11. H. Kufluoglu, Mosfet Degradation due to NBTI and HCI and Its Implications for Reliability-Aware VLSI Design, Ph.D. dissertation, Purdue University, West Lafayette, IN (2007)

    Google Scholar 

  12. K. Bhardwaj, K. Chakraborty, S. Roy, Towards graceful aging degradation in NoCs through an adaptive routing algorithm, in DAC Design Automation Conference 2012 (2012), pp. 382–391

    Google Scholar 

  13. D.M. Ancajas, K. Chakraborty, S. Roy, Proactive aging management in heterogeneous NoCs through a criticality-driven routing approach, 2013, pp. 1032–1037

    Google Scholar 

  14. D.M. Ancajas, J.M. Nickerson, K. Chakraborty, S. Roy, HCI-tolerant NoC router microarchitecture, in 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC) (IEEE, New York, 2013), pp. 1–10

    Google Scholar 

  15. D.M. Ancajas, K. Chakraborty, S. Roy, J.M. Allred, Tackling QoS-induced aging in exascale systems through agile path selection, in 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS) (2014), pp. 1–10

    Google Scholar 

  16. R.J. Shridevi, D.M. Ancajas, K. Chakraborty, S. Roy, Tackling voltage emergencies in NoC through timing error resilience, in 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED) (2015), pp. 104–109

    Google Scholar 

  17. P. Basu, R.J. Shridevi, K. Chakraborty, S. Roy, Iconoclast: tackling voltage noise in the NoC power supply through flow-control and routing algorithms. IEEE Trans. VLSI Syst. 25(7), 2035–2044 (2017)

    Article  Google Scholar 

  18. R. Das, O. Mutlu, T. Moscibroda, C.R. Das, Application-aware prioritization mechanisms for on-chip networks, in 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) (2009), pp. 280–291

    Google Scholar 

  19. Z. Li, J. Wu, L. Shang, R.P. Dick, Y. Sun, Latency criticality aware on-chip communication, in 2009 Design, Automation & Test in Europe Conference & Exhibition (2009), pp. 1052–1057

    Google Scholar 

  20. B. Datta, W. Burleson, Analysis and mitigation of NBTI-impact on PVT variability in repeated global interconnect performance, in GLSVLSI ’10: Proceedings of the 20th symposium on Great lakes symposium on VLSI 2010, pp. 341–346

    Google Scholar 

  21. J.F. Cantin, J.E. Smith, M.H. Lipasti, A. Moshovos, B. Falsafi, Coarse-grain coherence tracking: RegionScout and region coherence arrays. IEEE Micro 26(1), 70–79 (2006)

    Article  Google Scholar 

  22. D. Fick, N. Liu, Z. Foo, M. Fojtik, J. sun Seo, D. Sylvester, D. Blaauw, In situ delay-slack monitor for high-performance processors using an all-digital self-calibrating 5 ps resolution time-to-digital converter, in 2010 IEEE International Solid-State Circuits Conference - (ISSCC) (2010), pp. 188–189

    Google Scholar 

  23. S. Das, C. Tokunaga, S. Pant, W.-H. Ma, S. Kalaiselvan, K. Lai, D. Bull, D. Blaauw, RazorII: in situ error detection and correction for PVT and SER tolerance. IEEE J. Solid-State Circ. 44(1), 32–48 (2009)

    Article  Google Scholar 

  24. W.J. Dally, B. Towles, Principles and Practices of Interconnection Networks (Morgan Kaufmann, San Francisco, CA, 2004)

    Google Scholar 

  25. Y. Kim, L.K. John, S. Pant, S. Manne, M.J. Schulte, W.L. Bircher, M.S.S. Govindan, Audit: stress testing the automatic way, in 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture (2012), pp. 212–223

    Google Scholar 

  26. A.K. Kodi, A. Sarathy, A. Louri, J. Wang, Adaptive inter-router links for low-power, area-efficient and reliable Network-on-Chip (NoC) architectures, in 2009 Asia and South Pacific Design Automation Conference (IEEE, New York, 2009), pp. 1–6

    Google Scholar 

  27. D. Zoni, W. Fornaciari, NBTI-aware design of NoC buffers, in Proceedings of the 2013 Interconnection Network Architecture: On-Chip, Multi-Chip (2013), pp. 25–28

    Google Scholar 

  28. J. Alshraiedeh, A. Kodi, An adaptive routing algorithm to improve lifetime reliability in NoCs architecture, in 2016 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT) (IEEE, New York, 2016), pp. 127–130

    Google Scholar 

  29. L. Wang, X. Wang, T. Mak, Dynamic programming-based lifetime aware adaptive routing algorithm for network-on-chip, in 2014 22nd International Conference on Very Large Scale Integration (VLSI-SoC) (IEEE, New York, 2014), pp. 1–6

    Google Scholar 

  30. J. Heißwolf, R. König, J. Becker, A scalable NoC router design providing QoS support using weighted round robin scheduling, in 2012 IEEE 10th International Symposium on Parallel and Distributed Processing with Applications (IEEE, New York, 2012), pp. 625–632

    Google Scholar 

  31. S. Avramenko, S.P. Azad, S. Esposito, B. Niazmand, M. Violante, J. Raik, M. Jenihhin, QoSinNoC: analysis of QoS-aware NoC architectures for mixed-criticality applications, in 2018 IEEE 21st International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) (IEEE, New York, 2018), pp. 67–72

    Google Scholar 

  32. R. Tamhankar, S. Murali, S. Stergiou, A. Pullini, F. Angiolini, L. Benini, G. De Micheli, Timing-error-tolerant network-on-chip design methodology. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 26(7), 1297–1310 (2007)

    Article  Google Scholar 

  33. D. DiTomaso, T. Boraten, A. Kodi, A. Louri, Dynamic error mitigation in NoCs using intelligent prediction techniques, in 2016 49th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO) (IEEE, New York, 2016), pp. 1–12

    Google Scholar 

  34. V.Y. Raparti, S. Pasricha, PARM: power supply noise aware resource management for NoC based multicore systems in the dark silicon era, in Proceedings of the 55th Annual Design Automation Conference, 2018, pp. 1–6

    Google Scholar 

  35. N. Dahir, T. Mak, F. Xia, A. Yakovlev, Minimizing power supply noise through harmonic mappings in networks-on-chip, in Proceedings of the Eighth IEEE/ACM/IFIP International Conference on Hardware/software Codesign and System Synthesis (2012), pp. 113–122

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Noel Daniel Gundi .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2021 The Author(s), under exclusive license to Springer Nature Switzerland AG

About this chapter

Check for updates. Verify currency and authenticity via CrossMark

Cite this chapter

Gundi, N.D., Basu, P., Roy, S., Chakraborty, K. (2021). Design of Reliable NoC Architectures. In: Mishra, P., Charles, S. (eds) Network-on-Chip Security and Privacy. Springer, Cham. https://doi.org/10.1007/978-3-030-69131-8_14

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-69131-8_14

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-69130-1

  • Online ISBN: 978-3-030-69131-8

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics