Skip to main content

Software-Based Self-Test for Delay Faults

  • Conference paper
  • First Online:
VLSI-SoC: New Technology Enabler (VLSI-SoC 2019)

Abstract

Digital integrated circuits require thorough testing in order to guarantee product quality. This is usually achieved with the use of scan chains and automatically generated test patterns. However, functional approaches are often used to complement test suites. Software-Based Self-Test (SBST) can be used to increase defect coverage in microcontrollers, to replace part of the scan pattern set to reduce tester requirements, or to complement the defect coverage achieved by structural techniques when advanced semiconductor technologies introduce new defect types. Delay testing has become common practice with VLSI integration, and with the latest technologies, targeting small delay defects (SDDs) has become necessary. This chapter deals with SBST for delay faults and describes a case of study based on a peripheral module integrated in a System on Chip (SoC). A method to develop an effective functional test is first described. A comparative analysis of the delay faults detected by scan and SBST is then presented, with some discussion about the obtained results.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 54.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 54.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Psarakis, M., Gizopoulos, D., Sanchez, E., Reorda, M.S.: Microprocessor software-based self-testing. IEEE Des. Test Comput. 27(3), 4–19 (2010)

    Article  Google Scholar 

  2. Bernardi, P., Cantoro, R., De Luca, S., Sanchez, E., Sansonetti, A.: Development flow for on-line core self-test of automotive microcontrollers. IEEE Trans. Comput. 65(3), 744–754 (2016)

    Article  MathSciNet  Google Scholar 

  3. Shaheen, A.-U.-R., Hussin, F.A., Hamid, N.H., Ali, N.H.Z.: Automatic generation of test instructions for path delay faults based-on stuck-at fault in processor cores using assignment decision diagram. In: IEEE International Conference on Intelligent and Advanced Systems (ICIAS), pp. 1–5 (2014)

    Google Scholar 

  4. Singh, V., Inoue, M., Saluja, K.K., Fujiwara, H.: Instruction-based delay fault self-testing of processor cores. In: IEEE International Conference on VLSI Design, pp. 933–938 (2004)

    Google Scholar 

  5. Hage, N., Gulve, R., Fujita, M., Singh, V.: On testing of superscalar processors in functional mode for delay faults. In: IEEE International Conference on VLSI Design and International Conference on Embedded Systems (VLSID), pp. 397–402 (2017)

    Google Scholar 

  6. Psarakis, M., Gizopoulos, D., Hatzimihail, M., Paschalis, A., Raghunathan, A., Ravi, S.: Systematic software-based self-test for pipelined processors. IEEE Trans. Very Large Scale Integr. (VLSI) Syst. 16(11), 1441–1453 (2008)

    Article  Google Scholar 

  7. Christou, K., Michael, M.K., Bernardi, P., Grosso, M., Sanchez, E., Reorda, M.S.: A novel SBST generation technique for path-delay faults in microprocessors exploiting gate- and rt-level descriptions. In: IEEE VLSI Test Symposium, pp. 389–394 (2008)

    Google Scholar 

  8. Wen, C.H.-P., Wang, L.-C., Cheng, K.-T., Yang, K., Liu, W.-T., Chen, J.-J.: On a software-based self-test methodology and its application. In: IEEE VLSI Test Symposium, pp. 107–113 (2005)

    Google Scholar 

  9. Lai, W.-C., Krstic, A., Cheng, K.-T.: Functionally testable path delay faults on a microprocessor. IEEE Des. Test Comput. 17(4), 6–14 (2000)

    Article  Google Scholar 

  10. Fukunaga, M., Kajihara, S., Takeoka, S.: On estimation of fault efficiency for path delay faults. In: IEEE Asian Test Symposium, pp. 64–67 (2003)

    Google Scholar 

  11. Bernardi, P., Grosso, M., Sanchez, E., Reorda, M.S.: A deterministic methodology for identifying functionally untestable path-delay faults in microprocessor cores. In: IEEE International Workshop on Microprocessor Test and Verification, pp. 103–108 (2008)

    Google Scholar 

  12. Goel, S.K., Chakrabarty, K.: Testing for Small-Delay Defects in Nanoscale CMOS Integrated Circuits. CRC Press, Boca Raton (2017)

    Google Scholar 

  13. Grosso, M., Rinaudo, S., Casalino, A., Reorda, M.S.: Software-based self-test for transition faults: a case study. In: IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 76–81 (2019)

    Google Scholar 

  14. Nigh, P., Gattiker, A.: Test method evaluation experiments & data. In: IEEE International Test Conference, pp. 454–463 (2000)

    Google Scholar 

  15. Park, E.S., Mercer, M.R., Williams, T.W.: Statistical delay fault coverage and defect level for delay faults. In: IEEE International Test Conference, pp. 492–499 (1988)

    Google Scholar 

  16. Mattiuzzo, R., Appello, D., Allsup, C.: Small-delay-defect testing. EDN (Electr. Des. News) 54(13), 28 (2009)

    Google Scholar 

  17. Metzler, C., Todri-Sanial, A., Bosio, A., Dilillo, L., Girard, P., Virazel, A.: Timing-aware ATPG for critical paths with multiple TSVs. In: IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, pp. 116–121 (2004)

    Google Scholar 

  18. Yilmaz, M., Tehranipoor, M., Chakrabarty, K.: A metric to target small-delay defects in industrial circuits. IEEE Des. Test Comput. 28(2), 52–61 (2011)

    Article  Google Scholar 

  19. Uzzaman, A., Tegethoff, M., Li, B., Mc Cauley, K., Hamada, S., Sato, Y.: Not all delay tests are the same - SDQL model shows truetime. In: IEEE Asian Test Symposium (ATS), pp. 147–152 (2006)

    Google Scholar 

  20. Bushnell, M., Agrawal, V.: Essentials of Electronic Testing for Digital, Memory, and Mixed-Signal VLSI Circuits. Kluwer Academic Publisher, Dordrecht (2000)

    Google Scholar 

  21. Cheng, K.-T., Chen, H.-C.: Classification and identification of nonrobust untestable path delay faults. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(8), 845–853 (1996)

    Article  Google Scholar 

  22. Liu, X., Hsiao, M.S.: On identifying functionally untestable transition faults. In: IEEE International High-Level Design Validation and Test Workshop, pp. 121–126 (2004)

    Google Scholar 

  23. Zhang, Y., Peng, Z., Jiang, J., Li, H., Fujita, M.: Temperature-aware software-based self-testing for delay faults. In: IEEE Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 423–428 (2015)

    Google Scholar 

  24. Touati, A., Bosio, A., Girard, P., Virazel, A., Bernardi, P., Reorda, M.S.: Improving the functional test delay fault coverage: a microprocessor case study. In: IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 731–736 (2016)

    Google Scholar 

  25. Touati, A., Bosio, A., Girard, P., Virazel, A., Bernardi, P., Reorda, M.S.: Microprocessor testing: functional meets structural test. World Sci. J. Circuits Syst. Comput. 26(8), 1–18 (2017)

    Google Scholar 

  26. Floridia, A., Sanchez, E., Reorda, M.S.: Fault grading techniques of software test libraries for safety-critical applications. IEEE Access 7, 63578–63587 (2019)

    Article  Google Scholar 

  27. Apostolakis, A., Gizopoulos, G., Psarakis, M., Ravotto, D., Reorda, M.S.: Test program generation for communication peripherals in processor-based SoC devices. IEEE Des. Test Comput. 26(2), 52–63 (2009)

    Article  Google Scholar 

  28. Wang, J., Li, H., Min, Y., Li, X., Liang, H.: Impact of hazards on pattern selection for small delay defects. In: IEEE Pacific Rim International Symposium on Dependable Computing, pp. 49–54 (2009)

    Google Scholar 

  29. Cantoro, R., Carbonara, S., Floridia, A., Sanchez, E., Reorda, M.S., Mess, J.-G.: An analysis of test solutions for COTS-based systems in space applications. In: IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC), pp. 59–64 (2018)

    Google Scholar 

Download references

Acknowledgements

The authors wish to thank Andrea Casalino and Calogero Brucculeri for helping in the setup of the experimental campaigns.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Matteo Sonza Reorda .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2020 IFIP International Federation for Information Processing

About this paper

Check for updates. Verify currency and authenticity via CrossMark

Cite this paper

Grosso, M., Sonza Reorda, M., Rinaudo, S. (2020). Software-Based Self-Test for Delay Faults. In: Metzler, C., Gaillardon, PE., De Micheli, G., Silva-Cardenas, C., Reis, R. (eds) VLSI-SoC: New Technology Enabler. VLSI-SoC 2019. IFIP Advances in Information and Communication Technology, vol 586. Springer, Cham. https://doi.org/10.1007/978-3-030-53273-4_1

Download citation

  • DOI: https://doi.org/10.1007/978-3-030-53273-4_1

  • Published:

  • Publisher Name: Springer, Cham

  • Print ISBN: 978-3-030-53272-7

  • Online ISBN: 978-3-030-53273-4

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics