Skip to main content

A Heuristic Framework for Designing and Exploring Deterministic Routing Algorithm for NoCs

  • Chapter
  • First Online:
Routing Algorithms in Networks-on-Chip

Abstract

In this chapter, we present a system-level framework for designing minimal deterministic routing algorithms for Networks-on-Chip (NoCs) that are customized for a set of applications. To this end, we first formulate an optimization problem of minimizing average packet latency in the network and then use the simulated annealing heuristic to solve this problem. To estimate the average packet latency we use a queueing-based analytical model which can capture the burstiness of the traffic. The proposed framework does not require virtual channels to guarantee deadlock freedom since routes are extracted from an acyclic channel dependency graph. Experiments with both synthetic and realistic workloads show the effectiveness of the approach. Results show that maximum sustainable throughput of the network is improved for different applications and architectures.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 129.00
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 169.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 169.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. K. Bondalapati, V.K. Prasanna, Reconfigurable computing systems. Proc. IEEE 90(7), 1201–1217 (2002)

    Article  Google Scholar 

  2. O. Catoni, Metropolis, simulated annealing, and iterated energy transformation algorithms, theory and experiments. J. Complex. 12(4), 595–623 (1996)

    Article  MathSciNet  MATH  Google Scholar 

  3. V. Cerny, Thermodynamical approach to the traveling salesman problem: An efficient simulation algorithm. J. Opt. Theory. Appl. 45, 41–45 (1985)

    Article  MathSciNet  MATH  Google Scholar 

  4. G.-M. Chiu, The odd-even turn model for adaptive routing. IEEE Trans. Parall. Distr. Syst. 11(7), 729–738 (2000)

    Article  Google Scholar 

  5. W.J. Dally, B. Towles, Principles and practices of interconnection networks, 1st edn. (Morgan Kaufmann, San Francisco, 2004)

    Google Scholar 

  6. W.J. Dally, C.L. Seitz, Deadlock-free message routing in multiprocessor interconnection networks. IEEE Trans. Comput. 36(5), 547–553 (1987)

    Article  MATH  Google Scholar 

  7. J. Duato, C. Yalamanchili, L. Ni, Interconnection Networks: An Engineering Approach (IEEE Computer Society Press, Los Alamitos, Morgan Kaufmann, San Francisco, 2003)

    Google Scholar 

  8. W. Fischer, K. Meier-Hellstern, The Markov-Modulated Poisson Process (MMPP) cookbook. Perform. Evaluat. 18(2), 149–171 (1993)

    Article  MathSciNet  MATH  Google Scholar 

  9. C.J. Glass, L.M. Ni, The turn model for adaptive routing. J. Assoc. Comput. Mach. 41(5), 874–902 (1994)

    Article  Google Scholar 

  10. P. Guerrier, A. Greiner, A generic architecture for on-chip packet-switched interconnections, in Proceedings of the Design, Automation, and Test in Europe (Paris, France, 2000), pp. 250–256

    Google Scholar 

  11. A. Hemani et~al., Network on a chip: An architecture for billion transistor era, in Proceedings of the IEEE NorChip (Turku, Finland, 2000), pp. 166–173

    Google Scholar 

  12. J. Hu, R. Marculescu, DyAD – smart routing for networks-on-chip, in Proceedings of the Design Automation Conference (San Diego, 2004), pp. 260–263

    Google Scholar 

  13. J. Hu, R. Marculescu, Energy- and performance-aware mapping for regular NoC architectures. IEEE Trans. Comp. Aid. Des. Integr. Circuit. Syst. 24(4), 551–562 (2005)

    Article  Google Scholar 

  14. A.E. Kiasari, Z. Lu, A. Jantsch, An analytical latency model for networks-on-chip. IEEE Trans. VLSI Syst. 21(1), 113–123 (2013)

    Article  Google Scholar 

  15. A.E. Kiasari, A. Jantsch, Z. Lu, A framework for designing congestion-aware deterministic routing, in Proceedings of the 3rd International Workshop on Network-on-Chip Architectures (NoCArc), Held in conjunction with the 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-43) (Atlanta, 2010), pp. 45–50

    Google Scholar 

  16. M.A. Kinsy et~al., Application-aware deadlock-free oblivious routing, in Proceedings of the ISCA (Austin, 2009), pp. 208–219

    Google Scholar 

  17. S. Kirkpatrick, C.D. Gelatt, M.P. Vecchi, Optimization by simulated annealing. Science 220(4598), 671–680 (1983)

    Article  MathSciNet  MATH  Google Scholar 

  18. S. Murali et al., Analysis of error recovery schemes for networks on chips. IEEE Des. Test Comp. 22(5), 434–442 (2005)

    Article  MathSciNet  Google Scholar 

  19. M. Palesi et al., Application specific routing algorithms for networks on chip. IEEE Trans. Parall. Distr. Syst. 20(3), 316–330 (2009)

    Article  Google Scholar 

  20. K. Pawlikowski, Steady-state simulation of queueing processes: A survey of problems and solutions. ACM Comput. Surv. 22(2), 123–170 (1990)

    Article  MathSciNet  Google Scholar 

  21. C. Sechen, A. Sangiovanni-Vincentelli, The TimberWolf placement and routing package. J. Sol. State Circuit. SC-20, 510–522 (1985)

    Article  Google Scholar 

  22. V. Soteriou, H. Wang, L.-S. Peh, A statistical traffic model for on-chip interconnection networks, in Proceedings of the MASCOTS (Monterey, 2006), pp. 104–116

    Google Scholar 

  23. W. Trumler et al., Self-optimized routing in a network-on-a-chip. IFIP World Comp. Cong. 268, 199–212 (2008)

    Google Scholar 

  24. E.B. van der Tol, E.G. Jaspers, Mapping of MPEG-4 decoding on a flexible architecture platform. SPIE 4674, 1–13 (2002)

    Google Scholar 

  25. G. Varatkar, R. Marculescu, Traffic analysis for on-chip networks design of multimedia applications, in Proceedings of the Design Automation Conference (New Orleans, 2002), pp. 795–800

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Abbas Eslami Kiasari .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2014 Springer Science+Business Media New York

About this chapter

Cite this chapter

Kiasari, A.E., Jantsch, A., Lu, Z. (2014). A Heuristic Framework for Designing and Exploring Deterministic Routing Algorithm for NoCs. In: Palesi, M., Daneshtalab, M. (eds) Routing Algorithms in Networks-on-Chip. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-8274-1_2

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-8274-1_2

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-8273-4

  • Online ISBN: 978-1-4614-8274-1

  • eBook Packages: EngineeringEngineering (R0)

Publish with us

Policies and ethics