Skip to main content

Coverage-Based Trace Signal Selection for Fault Localisation in Post-silicon Validation

  • Conference paper
Hardware and Software: Verification and Testing (HVC 2012)

Part of the book series: Lecture Notes in Computer Science ((LNPSE,volume 7857))

Included in the following conference series:

Abstract

Post-silicon validation is the time-consuming process of detecting and diagnosing defects in prototype silicon. It targets electrical and functional defects that escaped detection during pre-silicon verification. While the at-speed execution of test scenarios facilitates a higher test coverage than pre-silicon simulation, this comes at the cost of limited observability of signals in the integrated circuit. This limitation complicates the localisation of the cause underlying a defect. Trace buffers, designed to store a limited execution history, partially alleviate but do not entirely remedy the problem. Since trace buffers typically record only a small fraction of the system state over at most a few thousand cycles, their utility is contingent on the cautious selection of traced signals.

This paper presents a technique for the automated selection of trace signals. While the aim of existing selection strategies is typically to enable the (early) detection of defects or to maximise the recoverable state information, our objective is to facilitate the subsequent automated localisation of faults using consistency-based diagnosis. To this end, we use integer linear programming and automated test pattern generation to identify a subset of state signals through which potential failures are likely to propagate. We demonstrate that our technique complements our previous work on SAT-based fault localisation using backbones. In that context, we evaluate the utility of our results on two OpenCores designs. We show that for this purpose, our technique generates a better selection of trace signals than a related approach recently presented by Yang and Touba.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 39.99
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 49.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

Preview

Unable to display preview. Download preview PDF.

Unable to display preview. Download preview PDF.

References

  1. Abramovici, M., Breuer, M.A., Friedman, A.D.: Digital systems testing and testable design. Computer Science Press (1990)

    Google Scholar 

  2. Brillout, A., He, N., Mazzucchi, M., Kroening, D., Purandare, M., Rümmer, P., Weissenbacher, G.: Mutation-based test case generation for simulink models. In: de Boer, F.S., Bonsangue, M.M., Hallerstede, S., Leuschel, M. (eds.) FMCO 2009. LNCS, vol. 6286, pp. 208–227. Springer, Heidelberg (2010)

    Chapter  Google Scholar 

  3. Chen, Y., Safarpour, S., Marques-Silva, J., Veneris, A.: Automated design debugging with maximum satisfiability. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 29, 1804–1817 (2010)

    Article  Google Scholar 

  4. Chen, Y., Safarpour, S., Veneris, A., Marques-Silva, J.: Spatial and temporal design debug using partial MaxSAT. In: Great Lakes Symposium on VLSI, pp. 345–350. ACM (2009)

    Google Scholar 

  5. Cheng, K.-T., Wang, L.-C.: Automatic test pattern generation. In: EDA for IC System Design, Verification, and Testing. CRC Press (2006)

    Google Scholar 

  6. Craig, W.: Linear reasoning. A new form of the Herbrand-Gentzen theorem 22(3), 250–268 (1957)

    MathSciNet  MATH  Google Scholar 

  7. De Paula, F.M., Gort, M., Hu, A.J., Wilton, S.J.E., Yang, J.: Backspace: formal analysis for post-silicon debug. In: Formal Methods in Computer-Aided Design (FMCAD), pp. 5:1–5:10. IEEE (2008)

    Google Scholar 

  8. de Paula, F.M., Nahir, A., Nevo, Z., Orni, A., Hu, A.J.: TAB-Backspace: unlimited-length trace buffers with zero additional on-chip overhead. In: Proceedings of the 48th Design Automation Conference (DAC), pp. 411–416. ACM (2011)

    Google Scholar 

  9. Eén, N., Sörensson, N.: An extensible SAT-solver. In: Giunchiglia, E., Tacchella, A. (eds.) SAT 2003. LNCS, vol. 2919, pp. 502–518. Springer, Heidelberg (2004)

    Chapter  Google Scholar 

  10. Fu, Z., Malik, S.: On solving the partial MAX-SAT problem. In: Biere, A., Gomes, C.P. (eds.) SAT 2006. LNCS, vol. 4121, pp. 252–265. Springer, Heidelberg (2006)

    Chapter  Google Scholar 

  11. Hung, E., Wilton, S.: On evaluating signal selection algorithms for post-silicon debug. In: Quality Electronic Design, ISQED (March 2011)

    Google Scholar 

  12. Keng, B., Safarpour, S., Veneris, A.G.: Bounded model debugging. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) 29(11), 1790–1803 (2010)

    Article  Google Scholar 

  13. Marques-Silva, J., Janota, M., Lynce, I.: On computing backbones of propositional theories. In: European Conference on Artificial Intelligence (ECAI), pp. 15–20. IOS Press (2010)

    Google Scholar 

  14. Moskewicz, M.W., Madigan, C.F., Zhao, Y., Zhang, L., Malik, S.: Chaff: engineering an efficient SAT solver. In: Design Automation Conference (DAC), pp. 530–535. ACM (2001)

    Google Scholar 

  15. Prabhakar, S., Hsiao, M.: Multiplexed trace signal selection using non-trivial implication-based correlation. In: Quality Electronic Design (ISQED), pp. 697–704 (2010)

    Google Scholar 

  16. Reiter, R.: A theory of diagnosis from first principles. Artificial Intelligence 32(1), 57–95 (1987)

    Article  MathSciNet  MATH  Google Scholar 

  17. Safarpour, S., Mangassarian, H., Veneris, A.G., Liffiton, M.H., Sakallah, K.A.: Improved design debugging using maximum satisfiability. In: Formal Methods in Computer-Aided Design (FMCAD), pp. 13–19. IEEE (2007)

    Google Scholar 

  18. Sülflow, A., Fey, G., Bloem, R., Drechsler, R.: Using unsatisfiable cores to debug multiple design errors. In: Great Lakes Symposium on VLSI, pp. 77–82. ACM (2008)

    Google Scholar 

  19. Yang, J.-S., Touba, N.A.: Efficient trace signal selection for silicon debug by error transmission analysis. IEEE Transactions on CAD of Integrated Circuits and Systems 31(3), 442–446 (2012)

    Article  Google Scholar 

  20. Yang, Y.-S., Keng, B., Nicolici, N., Veneris, A.G., Safarpour, S.: Automated silicon debug data analysis techniques for a hardware data acquisition environment. In: International Symposium on Quality of Electronic Design. IEEE (2010)

    Google Scholar 

  21. Zhu, C.S., Weissenbacher, G., Malik, S.: Post-silicon fault localisation using maximum satisfiability and backbones. In: Formal Methods in Computer-Aided Design (FMCAD). IEEE (2011)

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer-Verlag Berlin Heidelberg

About this paper

Cite this paper

Zhu, C.S., Weissenbacher, G., Malik, S. (2013). Coverage-Based Trace Signal Selection for Fault Localisation in Post-silicon Validation. In: Biere, A., Nahir, A., Vos, T. (eds) Hardware and Software: Verification and Testing. HVC 2012. Lecture Notes in Computer Science, vol 7857. Springer, Berlin, Heidelberg. https://doi.org/10.1007/978-3-642-39611-3_16

Download citation

  • DOI: https://doi.org/10.1007/978-3-642-39611-3_16

  • Publisher Name: Springer, Berlin, Heidelberg

  • Print ISBN: 978-3-642-39610-6

  • Online ISBN: 978-3-642-39611-3

  • eBook Packages: Computer ScienceComputer Science (R0)

Publish with us

Policies and ethics