Skip to main content

On-Chip Power Gating Technique

  • Chapter
  • First Online:
Book cover Green Computing with Emerging Memory
  • 860 Accesses

Abstract

Electronic equipment has long been indispensable to modern society. Previous large-scale computers were downsized and made widespread by personal computers. Meanwhile, information technologies spread to cars, consumer electronics, and mobile telephones. As a result, the information processors spread so widely that it is now called ubiquitous computing. To make ubiquitous computing more substantial, it must be accelerated to develop new benefits, such as mutual communication and organic connection among them. The LSIs equipped to each device will become more indispensable for higher speed and lower power operation.

This is a preview of subscription content, log in via an institution to check access.

Access this chapter

Chapter
USD 29.95
Price excludes VAT (USA)
  • Available as PDF
  • Read on any device
  • Instant download
  • Own it forever
eBook
USD 84.99
Price excludes VAT (USA)
  • Available as EPUB and PDF
  • Read on any device
  • Instant download
  • Own it forever
Softcover Book
USD 119.99
Price excludes VAT (USA)
  • Compact, lightweight edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info
Hardcover Book
USD 109.99
Price excludes VAT (USA)
  • Durable hardcover edition
  • Dispatched in 3 to 5 business days
  • Free shipping worldwide - see info

Tax calculation will be finalised at checkout

Purchases are for personal use only

Institutional subscriptions

References

  1. Donald RH, Gaensslen FH, Rideout VL, Bassous E, LeBlanc AR (1974) Design of ion-implanted MOSFET’s with very small physical dimensions. IEEE J Solid-State Circuits 9(5):256–268

    Article  Google Scholar 

  2. Hattori T, Ito M, Irita T, Tamaki S, Yamamoto E, Nishiyama K, Yagi H, Higashida M, Asano H, Hayashibara I, Tatezawa K, Hirose K, Yoshioka S, Tsuchihashi R, Arai N, Akiyama T, Ohno K (2006) A power management scheme controlling 20 power domains for a single chip mobile processor. IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 542–543, Feb 2006

    Google Scholar 

  3. Chandrakasan AP, Sheng S, Brodersen RW (1992) Low-power CMOS digital design. IEEE J Solid-State Circuits 27(4):473–484

    Article  Google Scholar 

  4. Nielsen LS, Niessen C, Sparso J, van Berkel K (1994) Low-power operation using self-timed circuits and adaptive scaling of the supply voltage. IEEE Trans Very Large Scale Integr VLSI Syst 2(4):391–397

    Google Scholar 

  5. Gutnik V, Chandrakasan A (1996) An efficient controller for variable supply-voltage low power processing. International symposium on VLSI circuits, digest of technical papers, pp 158–159, June 1996

    Google Scholar 

  6. Pering T, Burd T, Brodersen R (1998) The simulation and evaluation of dynamic voltage scaling algorithms. In: Proceedings of international symposium on low power electronics and design (ISLPED), pp 76–81, Aug 1998

    Google Scholar 

  7. Frank DJ, Dennard R, Nowak E, Solomon P, Taru Y, Wong H-SP (2002) Device scaling, leakage currents and joint technology and system optimization. 2002 VLSI circuit symposium short course, June 2002

    Google Scholar 

  8. Seta K, Hara H, Kuroda T, Kakumu M, Sakurai T (1995) 50 % active-power saving without speed degradation using standby power reduction (SPR) circuit. IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 318–319, Feb 1995

    Google Scholar 

  9. Itoh K (2001) VLSI memory chip design, Advanced microelectronics, Springer, Berlin

    Google Scholar 

  10. Sze SM, Ng KK (2007) Physics of semiconductor devices. 3rd edn. Wiley, New York, chapter 6.2.4, p 315, ISBN 978-0-471-14323-9

    Google Scholar 

  11. Kuroda T, Fujita T, Mita S, Mori T, Matsuo K, Kakumu M (1996) Substrate noise influence on circuit performance in variable threshold-voltage scheme. International symposium on low power electronics and design (ISLPED), pp 309–312, Aug 1996

    Google Scholar 

  12. Mizuno H, Miyazaki M, Ishibashi K, Nakagome Y, Nagano T (1997) A lean-power gigascale LSI using hierarchical Vbb routing scheme with frequency adaptive Vt CMOS. Symposium on VLSI circuits digest of technical papers, pp 95–96, June 1997

    Google Scholar 

  13. Assaderaghi F, Shahidi GG, Hargrove M, Hathorn K, Hovel H, Kulkarni S, Rausch W, Sadana D, Schepis D, Schulz R, Yee D, Sun J, Dennard R, Davari B (1996) History dependence of non-fully depleted (NFD) digital SOI circuits. Symposium on VLSI technology digest of technical papers, pp 122–123, June 1996

    Google Scholar 

  14. Shahidi GG, Ajmera A, Assaderaghi F, Bolam RJ, Leobandung E, Rausch W, Sankus D, Schepis D, Wagner LF, Kun W, Davari B (1999) Partially-depleted SOI technology for digital logic. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 426–427, Feb 1999

    Google Scholar 

  15. Kuroda T, Fujita T, Mita S, Nagamatu T, Yoshioka S, Sano F, Norishima M, Murota M, Kako M, Kinugawa M, Kakumu M, Sakurai T (1996) A 0.9 V 150 MHz 10 mW 4 mm\(^2\) 2-D discrete cosine transform core processor with variable-threshold-voltage scheme. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, vol 437. pp 166–167, Feb 1996

    Google Scholar 

  16. Sakata T, Horiguchi M, Itoh K (1993) Subthreshold-current reduction circuits for multi-gigabit DRAM’s. Symposium on VLSI circuits, digest of technical papers, pp 45–46, June 1993

    Google Scholar 

  17. Horiguchi M, Sakata T, Itoh K (1993) Switched-source-impedance CMOS circuit for low standby subthreshold current giga-scale LSI’s. Symposium on VLSI circuits, digest of technical papers, pp 47–48, June 1993

    Google Scholar 

  18. Mutoh S, Douseki T, Matsuya Y, Aoki T, Yamada J (1993) 1V high-speed digital circuit technology with 0.5\(\mu \)m multi-threshold CMOS. In: Proceedings of 6th annual IEEE international ASIC conference and exhibit, pp 186–189, Sept 1993

    Google Scholar 

  19. Makino H, Tsujihashi Y, Nii K, Morishima C, Hayakawa Y, Shimizu T, Arakawa A (1998) An auto-backgate-controlled MT-CMOS circuit. Symposium on VLSI circuits, digest of technical papers, pp 42–43, June 1998

    Google Scholar 

  20. Shigematsu S, Mutoh S, Matsuya Y, Yamada J (1995) A 1-V high-speed MTCMOS circuit schme for power-down applications. Symposium on VLSI circuits digest of technical papers, pp 125–126, 1995

    Google Scholar 

  21. Kumagai K, Iwaki H, Yoshida H, Suzuki H, Yamada T, Kurosawa S (1998) A novel powering-down scheme for low Vt CMOS circuits. Symposium on VLSI circuits, digest of technical papers, pp 44–45, June 1998

    Google Scholar 

  22. Stephany R, Anne K, Bell J, Cheney G, Eno J, Hoeppner G, Joe G, Kaye R, Lear J, Litch T, Meyer J, Montanaro J, Patton K, Pham T, Reis R, Silla M, Slaton J, Snyder K, Witek R (1998) A 200 MHz 32 b 0.5 W CMOS RISC microprocessor. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, vol 443. pp 238–239, Feb 1998

    Google Scholar 

  23. Hamada M, Ootaguro Y, Kuroda T (2001) Utilizing surplus timing for power reduction. In: IEEE conference on custom integrated circuits, pp 89–92, May 2001

    Google Scholar 

  24. Inukai T, Hiramoto T (1999) Suppression of stand-by tunnel current in ultra-thin gate oxide MOSFETs by dual oxide thickness MTCMOS (DOT-MTCMOS). In: Extended abstract of the 1999 international conference on solid state devices and materials, pp 264–265, Sept 1999

    Google Scholar 

  25. Kanno Y, Mizuno H, Oodaira N, Yasu Y, Yanagisawa K (2002) \(\mu I/O\) architecture for 0.13-\(\mu \)m wide-voltage-range system-on-a-package (SoP) designs. Symposium on VLSI circuits, digest of technical papers, pp 168–169, June 2002

    Google Scholar 

  26. Sakurai T (1992) A unified theory for mixed CMOS/BiCMOS buffer optimization. IEEE J Solid-State Circuit 27:1014–1019

    Google Scholar 

  27. Sakurai T, Newton R (1990) Alpha-power law MOSFET model and its applications to CMOS inverter delay and other formulas. IEEE J Solid-State Circuit 25:584–594

    Google Scholar 

  28. Kanno Y, Mizuno H, Tanaka K, Watanabe T (2000) Level converters with high immunity to power-supply bouncing for high-speed sub-1-V LSIs. Symposium on VLSI circuits, digest of technical papers, pp 202–203, June 2000

    Google Scholar 

  29. Ishikawa M, Kamei T, Kondo Y, Yamaoka M, Shimazaki Y, Ozawa M, Tamaki S, Furuyama M, Hoshi T, Arakawa F, Nishii O, Hirose K, Yoshioka S, Hattori T (2005) A 4500 MIPS/W, 86\(\mu \)A resume-standby, 11\(\mu \)A ultra-standby application processor for 3G cellular phones. IEICE Trans Electron E88-C(4):528–535

    Google Scholar 

  30. Kamei T, Ishikawa M, Hiraoka T, Irita T, Abe M, Saito Y, Tawara Y, Ide H, Furuyama M, Tamaki S, Yasu Y, Shimazaki Y, Yamaoka M, Mizuno H, Irie N, Nishii O, Arakawa F, Hirose K, Yoshioka S, Hattori (2004) A resume-standby application processor for 3G cellular phone. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 336–337, Feb 2004

    Google Scholar 

  31. Yamaoka M, Shinozaki Y, Maeda N, Shimazaki Y, Kato K, Shimada S, Yanagisawa K, Osada K (2004) A 300MHz 25\(mu\)A/Mb leakage on-chip SRAM module featuring process-variation immunity and low-leakage-active mode for mobile-phone application processor. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 494–495, Feb 2004

    Google Scholar 

  32. Uchiyama K, Arakawa F, Yamada T, Ishikawa M, Ozawa M, Kamei T (2004) Technologies for a mobile multimedia microprocessor. In: 7th international conference on solid-state and integrated circuits technology, vol 3. pp 1595–1600

    Google Scholar 

  33. Pelgrom MJM, Duinmaijer ACJ, Welbers APG (1989) Matching properties of MOS transistors. IEEE J. Solid-State Circuits 24(5):1433–1439

    Article  Google Scholar 

  34. Thompson S, Young I, Greason J, Bohr M (1997) Dual threshold voltages and substrate bias: keys to high performance, low power, 0.1 \(\mu \)m logic designs. VLSI symposium digest of technical papers, pp 69–70, June 1997

    Google Scholar 

  35. Mizuno H, Ishibashi K, Shimura T, Hattori T, Narita S, Shiozawa K, Ikeda S, Uchiyama K (1999) An 18-\(\mu \)A standby current 1.8-V, 200-MHz microprocessor with self-substrate-biased data-retention mode. IEEE J. Solid-State Circuits 34(11):1492–1500

    Article  Google Scholar 

  36. Clark L, Deutscher N, Ricci F, Demmons S (2002) Standby power management for a 0.18-\(\mu \)m microprocessor. In: Proceedings of international symposium on low power electronics and design (ISLPED), pp 7–12, 2002

    Google Scholar 

  37. Osada K, Saitoh Y, Ibe E, Ishibashi K (2003) 16.7 fA/cell tunnel-leakage-suppressed 16 Mb SRAM for handling cosmic-ray-induced multi-errors. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, p 302, Feb 2003

    Google Scholar 

  38. Mutoh S, Shigematsu S, Matsuya Y, Fukuda H, Yamada J (1996) A 1V multi-threshold voltage CMOS DSP with an efficient power management technology for mobile phone application. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 168–169, Feb 1996

    Google Scholar 

  39. Sakata T, Itoh K, Horiguchi M, Aoki M (1995) Subthreshold-current reduction circuits for multi-gigabit DRAM’s. IEEE J. Solid-State Circuits 30(11):1165–1173

    Article  Google Scholar 

  40. Royannez P, Mair H, Dahan F, Wagner M, Streeter M, Bouetel L, Blasquez J, Clasen H, Semino G, Dong J, Scott D, Pitts B, Raibaut C, Uming K (2005) 90-nm low leakage SoC design techniques for wireless applications. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 138–139, Feb 2005

    Google Scholar 

  41. Kanno Y, Mizuno H, Yasu Y, Hirose K, Shimazaki Y, Hoshi T, Miyairi Y, Ishii T, Yamada T, Irita T, Hattori T, Yanagisawa K, Irie N (2006) Hierarchical power distribution with 20 power domains in 90-nm low-power multi-CPU Processor. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 540–541, Feb 2006

    Google Scholar 

  42. Yamada T, Abe M, Nitta Y, Ogura K, Kusaoke M, Ishikawa M, Ozawa M, Takada K, Arakawa F, Nishii O, Hattori T (2005) Low-power design of 90-nm superH processor core. In: IEEE international conference on computer design (ICCD2005), pp 258–263, Oct 2005

    Google Scholar 

  43. Yamaoka M, Maeda N, Shinozaki Y, Shimazaki Y, Nii K, Shimada S, Yanagisawa K, Kawahara T (2005) Low-power embedded SRAM modules with expanded margins for writing. In: IEEE international solid-state circuit conference (ISSCC), digest of technical papers, pp 480–481, Feb 2005

    Google Scholar 

  44. Kanno Y, Kondoh Y, Irita T, Hirose K, Mori R, Yasu Y, Komatsu S, Mizuno H (2006) In-situ measurement of supply-noise maps with millivolt accuracy and nanosecond-order time resolution. Symposium on VLSI circuits 2006, digest of technical papers, pp 63–64, June 2006

    Google Scholar 

Download references

Acknowledgments

This work was done in cooperation with H. Mizuno, M. Yamaoka, T. Yamada, and N. Irie of Hitachi Ltd., and K. Yanagisawa, Y. Yasu, T. Hattori, Y. Shimazaki, T. Takeda of Renesas Electronics Corporation, and K. Ishibashi of The University of Electro-Communications, for their support and helpful comments.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yusuke Kanno .

Editor information

Editors and Affiliations

Rights and permissions

Reprints and permissions

Copyright information

© 2013 Springer Science+Business Media New York

About this chapter

Cite this chapter

Kanno, Y. (2013). On-Chip Power Gating Technique. In: Kawahara, T., Mizuno, H. (eds) Green Computing with Emerging Memory. Springer, New York, NY. https://doi.org/10.1007/978-1-4614-0812-3_7

Download citation

  • DOI: https://doi.org/10.1007/978-1-4614-0812-3_7

  • Published:

  • Publisher Name: Springer, New York, NY

  • Print ISBN: 978-1-4614-0811-6

  • Online ISBN: 978-1-4614-0812-3

  • eBook Packages: EnergyEnergy (R0)

Publish with us

Policies and ethics